From 53a8837fab23bcd34840e97f930b8e17744f3925 Mon Sep 17 00:00:00 2001 From: Adam Greenwood-Byrne Date: Sun, 7 Nov 2021 21:26:31 +0000 Subject: [PATCH] Updated docs --- part11-breakout-smp/README.md | 2 +- part12-wgt/README.md | 2 +- part13-interrupts/README.md | 2 ++ part14-spi-ethernet/README.md | 8 +++++++- .../images/14-spi-ethernet-arp.jpg | Bin 0 -> 66069 bytes 5 files changed, 11 insertions(+), 3 deletions(-) create mode 100644 part14-spi-ethernet/images/14-spi-ethernet-arp.jpg diff --git a/part11-breakout-smp/README.md b/part11-breakout-smp/README.md index 0eb8e7c..1a46bf7 100644 --- a/part11-breakout-smp/README.md +++ b/part11-breakout-smp/README.md @@ -32,4 +32,4 @@ Click on the picture below to watch a quick video of the game in action. PS: Sorry for the bad audio quality - the game itself sounds great in real life, I promise! -[Go to part12-wgt>](../part12-wgt) +[Go to part12-wgt >](../part12-wgt) diff --git a/part12-wgt/README.md b/part12-wgt/README.md index a6a38fa..42d5de7 100644 --- a/part12-wgt/README.md +++ b/part12-wgt/README.md @@ -51,4 +51,4 @@ _Do have a go at building some of the samples (hint: wgt20 and wgt60 are super f I'm going to move on from here now so we can continue to make progress on the OS itself. -[Go to part13-interrupts>](../part13-interrupts) +[Go to part13-interrupts >](../part13-interrupts) diff --git a/part13-interrupts/README.md b/part13-interrupts/README.md index 976a836..22dda22 100644 --- a/part13-interrupts/README.md +++ b/part13-interrupts/README.md @@ -150,3 +150,5 @@ Then we update the screen to show our progress! _And... hey presto! You're handling two system timer interrupts like a pro!_ ![Timers firing on all cylinders on the Raspberry Pi 4](images/13-interrupts-running.jpg) + +[Go to part14-spi-ethernet >](../part14-spi-ethernet) diff --git a/part14-spi-ethernet/README.md b/part14-spi-ethernet/README.md index 61e2870..9b5e5b8 100644 --- a/part14-spi-ethernet/README.md +++ b/part14-spi-ethernet/README.md @@ -192,6 +192,12 @@ if (ENC_RestoreTXBuffer(&handle, sizeof(ARP)) == 0) { } ``` -`ENC_RestoreTXBuffer()` simply prepares the transmit buffer and return 0 if successful. `ENC_WriteBuffer()` sends the packet to the ENC28J60 over the SPI. We then set the transmit buffer length in the driver flags and call `ENC_Transmit()` to tell the ENC to send the packet across the network. +`ENC_RestoreTXBuffer()` simply prepares the transmit buffer and returns 0 if successful. `ENC_WriteBuffer()` sends the packet to the ENC28J60 over the SPI. We then set the transmit buffer length in the driver flags and call `ENC_Transmit()` to tell the ENC to send the packet across the network. You'll see that the `arp_test()` function sends our first ARP this way. We tell it the IP of our router (192.168.0.1 in my case), but we don't know its MAC address - that's what we want to find out. Once the ARP is sent, `arp_test()` then waits for received Ethernet packets, checks whether they're for us and, if they come from the router's IP address (therefore likely to be the ARP response to our request), we print out the router's MAC address. + +This fulfils design requirement 3, and therefore we're done! + +_Imagine how happy I was when I finally saw my router's MAC address appear on-screen - a sign of life, and proof that my OS is networking!_ + +![ARP response](images/14-spi-ethernet-arp.jpg) diff --git a/part14-spi-ethernet/images/14-spi-ethernet-arp.jpg b/part14-spi-ethernet/images/14-spi-ethernet-arp.jpg new file mode 100644 index 0000000000000000000000000000000000000000..69dbd1c5fa62dcd28e0bdb7abd161de7875f2c27 GIT binary patch literal 66069 zcmeFYc|4Tg+cEk@Go(ye zvoC|mzB6OC@2&T{p6B(vp6~1R`~Cj?ea_u&uKPOIxwdo8b*}r|C&o|4IKZv1t)~q@ zAON5XUI1fakM8*pcNYLKFaV?g0AK_5Lbw1X5Ml-IGzj-^m>q;4L-qqu@D~DJ0OT;h z@*4(#=Mcfaa1rGAzce5R2;z6!UAi|A`QPwC5Uz%({pI=fwmZQ5FQ2|UNB_WV+8_?l z^7Zv{2HY>X`FJ~vTAA*mK#g~$|MQDbJcR%Nc{zE63Id@brz{Er^71MO)PHYtBsTMp zc1&)u*?(c*J7WK(=^e>`V2CCF+y?*{D1li{UP1mo^pDm|A@`a8!bz!+e`p{~P|!ud zu6IDKm~zvY{_=m72K|eFoyPnZu18-L#E-!P~%n{eK>f9ZF} z)IViclmK||0dJQ7t^fWv901u{0RX5x0O*qhfT;5f#@sXjDOLW5id}iTvN=KRK%X+50w1eE?LZiC0|4j@=%GI}5YVze@C$G* z{DGf?)PGIP}|JLSWk) z0N=m(@1nb2`qP#PIQ+-I%ntyOzjy!;{f$2gw#@{N{26D=^`OPOZFlu)1CIWoha>~X z{=lH#l7H#H1IPd3A%OH>o<9MZKX~3s;M5-&^rP%wxCK!93!ej&|H90G>R%WD)c(TE zfYxuHgYkx$4(R{I{~jR@zFzJDfpT(2JWRlC;1f^)lmL}LJ&cp$|!Gr@8AeE3%$O7;a)inC=1}fmz@?@Bq}~ z3lIlHgLO$D2PQ}u6AhG%2NuDQ$^_W}zJmNcfpCx}1_%R8AXlL!V6ZX<>;Nyo7nJ-K z7zVjt2Ydi$5Jv#`n2aGapw1(}6fg<&ftH>Jw1ErYr42&EKn3J0Bo;UaKIsEz0S(Za z7SMWC@KOP<_kcSj22%W6S~Ms%1mvv@DE_`q0}8-4Fazm_OhK5Lj3AnjYYReSt=x2IS5I=>^t-QJ@pNn?a}@ zSOUsGZIwYC)WB<38zgWVd^ynlx^sY|y_d7Ov#*b%8#m+t00hHdv5p+uubTMJ2dZE@{&Swy3 zO;B2v-U^<6RssG-A&zGOzz-!S=M9{f*HS)lR0x*SxH9uEb2V+ zjH1H1^V;V@UO-|80N8SX06VxmBm+=BF#f^W&MBUjKdp8CybMxXNm1ss(s?bJGl=sF zG74I!5ogb!kV+_REdcoA7q)*r_}8-k;lbaQ{*IfV958nO_VBLtyQ}uDY?lAA3X3|} zJ9>&b1=)LvI@(`%_O};3eM(;O`gKtkS;Q$M07gE@@7Td)2sQ?xT|QuZWcvmF-JRb| zzfEKQg9k;i{FeETUaY_IAiRekl>VFk!Y-eEfAOMVul>fG?c#a<;*W!I^S|)?fAMl) ztlZUMmnW#+?{fN|=Rf$p(crVw@0$OzAEe)F@(T_D((y&ZiuGuFHnl`+}a4lLge!A-?cQy?(G;<8|bVNCcp*2L+LR3Po`(Aerv=*Mb%s&q>3l$;pY+TY1V#pIm!ziq)Mb+Lby z3=R%H6|8W|$KO>JfkL5V<>Y1MH9G?*Q>X9L|BW$lu*J(A~#dbeE&O zgHKSPx)><=AC<2A{$=~W+Wv>o8O${Qcgo$G-){Ot>g(nc=o8@P^MB#|xB71*UzM}| z&h~-M=fGQCY&V0DK_F!0l+FHRg{Q!*X_x!Igg*XeK0aRRV!K)7KWGCVCwG_7|7&|O z(Oo4~&iY*U^$Bnfbk@6W@472VO!TiZD!YyUQ3LGO|C0@e3S2dQ9^838~YHO3Fx-%31JTNJm#s-_*?9!qV!pwWE`>i>sTvM?hfE zjo^^bu(+G?w{G9Ln~;|NAmibq$4@e!zsSpfS@5c`=*`>8ckipJKYVO#YHn%8wY7ig z>h9_7>;E}0I5A0>nw}y4nw?u+Ti@8++9r{AcI^T;&i*kA{QHk(|HCdG&@LusW+*dk z*DeTC@UG!J%q&L`toyZ$VfKCp#N=bx4xUMUR?)CWT)||8*TMe>`yq+b6GvBfP5W)x z|1-m4|36vw*RcPxYY5ynVESF4OiWM~C=|-V$^sTvHrCz3#?JP;u>V^)eizQ&!u7W> zKqjE2P-bRk82FES@1DKf|E~*U1l(Oa&KLwZpx_%K50nRd@29TIN+LUnl4xZS;?Qb7 zYvk9n!jB~mr1)*nDQ&l7_!IMah$uPP9^%>ediKR7>L&P|9`cs3_$pNu*-mW0`yMP8_|DI0=gDGvl( zZtP8pZcb)Z_Zv%aFp_IK&&!jMlaIQyZ`Z0>;c`RW%&9VYld@(rm=Ic32iS>njx?Ot2ea*EK-8<`7Z`$pn zA6pcsbJuYzY2m1P`2Evm|y9hOn=)7GUGVT%mA9P6!;c%6Mll!ck&&dXBLy% z(Ay7p)T_A9>(y$0{d5;ku~{Ac)JErxusC8wJ)*LN$jQJm?ygVsSUS_5>dZG)m#HAxmiat#t3Y4 zM{pIVg-9-#a-x^99?ff##_ zQ8@`yyr!wkp`(>#`J;m(MgQ)Fp3?4N4VyOOYMLp&KsiqTeserTj1<&)2D56O^nfDY zt8e$Uc73M8C;E!yEXgc!k5H(_yN$W1c1)%0*QN$#Y)%87u5KYtORICU(8^)C8n%ePL02dgEr*2vPlQmP5e>7svWR9Xsotc(jw<;NGgZQ(8hDl7!I$qXEIK z*payL`4q|`y7hJ7T-_Tw;k?D8b=exS*N}qJ^0Y~0Mog>9PJi!Sia7nd==wM%IeP>_ zopYw8qTM*ANN1wPTC+qt-})9)OX{xckROdkTDVQoOhZfvyzSO-z}&MgF!$lx z76=bRgWjHE0HW7e_?g#f9-pqj@chJtohG`#-mO@38+MMEAX50JkpCavKSB?x7b+U=)g~*(`mrXG=cFeOJD#S*y{rm+@++@5PPH z+v(;EoZX7k1Xj!ksFy&MBTX?A8EChoswuJMY(l=5FblnJZc+qPdg`8tsGPf9gw@(q zl!|4KHbfHYh_)2jg}T+b5n=Zl-mr>XiK@0J;~v_w{_39VGgX;a-0$N~dbQ1k6+auS zr^F(zthGy5x)cbgp3i7}OU)%@C42am9K1iZlhq}K9S#&8-9(tMvZ}0(#hh`z13U75 z16R#oc$L>I8rHFM2@3nd0QhDtc`R=r$g7zH3X=Pe#$32Ao`Kv+@!D#!UNeq%Q0TA9 zR}gIVi@`?LNmZ*PqtqfH^A=B@o@I91bA;7Up&nISYm#xbUYIk=`kp1qXC0q^6(B&d zTv6!5ZnthNOq>4*hz4->IQ@#(km}=tMi)6D%V*ZYHnyhd>YrOKi+dz7X%W&dChk8c zZrQP~Nt~a5zBAIJ&DmnVcY{N)a1#S4znH8vdRa$YfRNh%+3=Ox*Vp5i+hM_b4~WN- zTx5FE)4!WXzgZczZ`f9gx;iH!qh~VEp!NzX5`Tr~5fFrnm?=bX9y9r=C-M@)5f;qr z75U;u6gA_0B*cfadi&e@aNK};eb#rs!_MW6YcOiD&I zEFi&9SSs)JCH2agFF0{HwU431YI|T>AH(+%H3+^-iBH~a1C^h68 z+9?3I*En^fVejlO>2r;p&Bg=wS`y04KSHv?*HkhCu4o!xP4(Z?Hi*Doi)=BfL^{J@ zueNAm3_$A1vjJiAs#oy9PRN{Rc*55*8SPGUcBf-Xi)b76N(YWFGZF-W#$={#!e>JV z2W6%+DMBl!jHS(BaI8XwM_(?1eL#VzM-H)h;a~cBMYNo6UC1Pz#54_6gQI4%v z#428wQ{sX-HxNnhH8Yj<_F4a?2_Yz?JXmN~WaS6(OjIC+gv zBx@3obSqwodq*BfBY3p)pq-j?T;NJxR*V`k?iW8_89;1JJe$?kg#A_IIW3$*#H|7D_kP{%3 zNxyr;vxRAqO&U9>v7gFHI^w>v-K_9y_Lcjia`|(HLJy}FC7Ev^%QGKswbAl_7^($? z#v{=R!WcfqQF>RiWP{JZ*2x^jO6l!}<-}Bczl3G;aMjm%RGV1WUYdj4Vn@3|I_ z%1r4=-t*4k`7&~abSd?|&4yZkJM4J5RFHYAx-{l|>aG0!a?nHFj-ok_pVbrcqY^V$ zW{lx1p*njE6!%;iPSLFsPga}@kPW2~2VQJ7)A9mRNEeUslW(^tIhL;mZ547Y-lgdB z4y)NA30W`os818fG1HGuwkRv3FJf8I!kb6ZrC!DOBbQ12WG#~us^SY}lpKSUA;GN& z#-Hd1_V}`OisL7?>#QF~4Tw}m&rXtG>lozFG9ntCj>?mmv3`q-n|UX{R@*0WhM>Ti z@L||kFXxi%Vg^N58QLm81&?gN*Nrj&_F$@#>!O5)DPd0?8%|)hbB^o>&k7IzrU#EP z?2?1^C$t)$KkpHF2IEyes8@S_^=7?ayH*YnTnuh~rR6m=tUmYvj*V+6-kWQK`wO6{ zKYQ|@iUwKL;a|OZI~i+->C6*(@46_SR=4t~V+j38BqfaO6Cf*- z#8po>M8J3`%Yim5z)moU7`PAb|SS-rA4wBqCFVE zAoogyeZWpI)su*vl(>aQ=UXRB%DhWq0Jhcn78eS?zup)d(D|N7F{6LK_|u1fG*!?1i!dI8Op(x+WMoh8{-^_``AJ;iU^Z= zN3{zcgGYPR)ADOo<&#K_E3R0!@`DM?mPyUFeD2D8=<0zR~+!B68rUbETf7uF<3KFn%pZ0 z!6|YLgyjku@qTRWrMtMEC0*biC(U9FJN(tq|9UAXru1Msj*NkFa! z;bGNwoi#Tu_>Khzr0?{i?33bcXk$M5i&jqlJTn7v2P4^9W9>c6YfE_^sNPzyfUOXgw9i zy8&BBdxmin*_+0y^#L}t?NX!Ml3GNnYmU*vZPga^(`%>8_2VzAshf=r-kw%?7)Ut0 zYHa6BcbiEPU4qtiz2xzxewfMMn-v`@Rw5ShVF|K$S=`oy*|4y31{` z8_|*9bqs4~N>0^BznV?8E)=kGKQD4ZfB|T{a|Zz7+)cpmqH+7Rnq*Wl`v*~F!^q-u zE%uG-#cebd^V~Q%XE?Dccc+VGZ&vVRiB#+h7X_M8z-ZZwlKu4lR{`50)VZ#tG6ihN z#;dJk2eC%e&>mydI=apKB1_>0dRUHi`J zMX0+-L$kZzeJLuXC(n@-gpbOu%eZ>pAh)7}Qzz;H{{DntHT{}-#yw37cordLdf~+I zQ+BqL=;r&8c#YT+F?d;*FXkf#Kdt{d!&aC1zF*HuWML!!ckhjMet3Z4)X&rx`#-M7 z3-Oh~d_A1*-4>5mi=b(%Qo3vI4e?W-esnD;^D~jSs1%RlM=Kk*@a^U1H>BbCJ44lJ zw9iFfn!j9F`2fohAPS*(W-?4{ZkYhv0>7mEYFNlR9i5?pdH{}*9-7zY1NQLIE~{|R5z-mGcs_Sa^fN}_w`9+B<@ zI9|%#ghG=D zr$4maIC}IbYo*3KUysm8D`ns!7rv{y6%KUq7=9K z7xmU6j*wW#{cD9DrDD@mMP}^|FiB=O8vnpZF#v-INh6w3Jtm$3%=&9MA~1^?bt zgyRDuBE52oZachLTFPok|9O(cHKkwOx<}*kyp_|(Gu4;QYUrrfBTHqNf>JExIcG(Q z>1j7DXFoL7UQS>D;Ev74!_e7L-Q}jN@#Ii}R+!=Ae4i&;=i!lZ7>x&eZq&-{S&GUr zvKAtvWd(MkcdD`uevc>7LmisB$V%4@!?svJq#ysr1wFWVIDGyd$|^ z;RM%6J2?bh{uzlHX5}O-W*z2@vEkNn9G=ONAcsPyJ3WtCpS^XK6S=u;q1iSzv{uyC zVrUkNAe$8)8$Tdo8%iuESm_Sz2eeGMpatNfToOI)3%eLRnafhe!5O}#D0kE>S&obM zW~J*nW3jUCSPZ_aq($(+J@6H()cDwzsv%#NQ^K1UGvb9imTEa?19dC*YiZQvSXUkp zOtlPY~lh(b6#r|;>0jfKrc2GCc^<^Tma zRVkKFOkBOh{l3@BLzcU#o+f*3GSt7Flsi$6gC_O$@GMUytQDD646$)HcrdN0SUj+( zugW*z{V*r3FYWUbtEK+gv%T1C`gtf7C)wh@=izJJi>&YykrX|6x z*r)vM9@?7xlDsN|52unnbCbLG=2*XZe->4bEK|O#!YlrSA}U!B<=*-=OPWJu{acX3 zH!YYOp`b6z>lUOn;4;nWhq0^Z^^n$4MCRQ^P6&>7;OUXsl(3kRyGY!GAu{{ClqA0l z;-++*Id-^wM8K=xq0iNgACKhKzhbN6udZrogW$U%mm$Nca=}^T1WcV%>gM|?tR;LC zXFWglUi4wBfr}9j^OP?pJ1lVCW-?nL6*~~;#NolKWj1KeQ5lhFM(P zB7{OyrfuJ;6lANoimi25@@z-Qw*?Q|{B# zn76h4Y*&-sy`ol-q%WUcX}Q^*xf4h-YP<9)S!ETQ4JjzOcD${fcgKC@(>T6ClBAkP zJ-_c$?UcXbIVgrgK@?wvMvtz#)*v^J}bWvps z178*yZ~ZXx94hOtSjlhA33Yo`R+?YMwarmc8M@r6nP;Yhhl4?Br<~&CZhg{@`J|$3 z+Pq2PrDSN}ceaZ+b4K~Q%bJq7yf?{qdj>HBaF>ss##_cWpOVsV%#{q%I6Zi;S}OX) z5A~m80GGI@V*!5d}>Mx4YL;eMLS|unEXRTz)cNQ zF_G_hB0p?ta~7*pVEt~(#oY9eoGP@zE??d8>a(Y6_w{fXV!~q`s&x9Nf@yk~aVH#m zP6l4RHwzvtbhq%vO-)U903}(d@pv)8c(0SfYAmP1GPS5rKqVKN+F$Sv-Z*t)As`mq z&oc5^|E3E0VBbDPNY2(Qe)TNx=vJNDdcSaNs?l&jMHL4jx7EGyK%0fl?P0Uz(VNhA z5$mubAD48|tmN#EfyMG(X%?+c&~gV~6=*^jvrD}iXSPc6OsTF-zK@Jm>=&(+moQ+b zJMFlB)PnyNq{RJzF-7 z)6VD4jFU0P?M$?&QMC`2Prjl46vYH)`Kp-LCm8kuN`6(ZB*NAWLS}v%^2a0>q&Qli z;QnFrNi6#F!Du-Y-p3=n;>_8IBGfgQAuWK^-6zlVW=UK;+AozB`Axqe?p7cNZwO9p zXNQ}`^nRvTYM;AO(g|bQcvu*r{IlHkV3ALQzP=#@k-L*6+(U6J{I)7iJ8@X@>C{v3 z3srurUDWA&Uru{yU%57?emnn)%_D71HV!ntuc!$*D5N)S3GROh z(L5RrOXi*$N%$L;-ry0!KgW2ycdO;0vRzVQ04++NcVeB8;@!1j{Y}! zIE~G1*+my|yZ9W4Ij|SpN^TZdY*xGwY^I(STl`dp}ocZS6SSpdyV^=>#iZC7cV1pCmou7R73HfJ$~h^9f-dxc%v#sXa8}cWLx~Awm zd=W{mR_iCt%HV6xRvi%(EPpnO3)7Zhn&y%UNDd%>H`KE<|(vy{-nn#CHu!jUJlG;BCH)jyh? z5U8KUDQY0vMW+a(6{+7fMCY_{>gd)I#{dAam`V?Q^@2DpvAtuK7bu?I-?M7dtzuu{ z%%i)dXn!z?8HMw{Ra}G+hiPO4iL0%Jps2;k2HBT}EBIAoGk#(6-L>3VvRlzhbdM&E;vEUA9%f zEF6E@O^aX953AZ&!mh;+kIL%d#;wJx1){UP8V*gQZ}3j^Wv7^A9XMK5MZU$Vkk!}n zxz_4BTx9nAyV@0Cr*E^gyp0n{Hy<}I3j6+2hvl(^a5ZlsZ*G0tH``l1PE07?e4%P_ zfo~xh(i*p4u)7QV7!n=l^k{q6X^7%26?z4CRCJc{^=&Hk)vxHvbxgEx)v*q#vS{%x zE$_(D4;zdZ((vdQBtL2kXzWRODzL#Tc!h`*^30R49Y)_pg)07;Du?GQaGk~&|;oBh@K;)LXT{sw;3qSgA)HR0U;VD*n#Nr80pm>^b8 zM4G(6=U~3odXWk0L@r)pV}7ILi38+bX_>HFfD@R(CXW18CBt`IpwEOm$@a zxY`m>_+xMK;+SuN-)J`bO;3k9m~n8kl@v~LuoH6UXEV3lFRn}Og?~-8|L6*XialHV z^1g4mP4Uev^=vYi;IZL)vfT@?o|fh^(|y9kvF}yR@^?L zwY)H#0d(|aEatpDcs@#nAz3fnF8Vp_Pq zxTYIp-swm7yg z{7&BX z58P1r8Mxf_-X!c)tM`MTZvTb;19teMi^Jt=T@|irXD*MVSU7}A>}1Z?ND^cZkR&gu zl*b31sQgr4^4yBEpM69-6i$=AZ~C1eJoe>fl79e+l5l-2K*KB}b%fM3DVZE4`nd*s zx^HO{^TwMy@%E_rzJ36bG;Vq1FazM(S?ykb)r}nYjr8boEmpQgm4*(Dq_?G2g71Z^ z$r?|J_r|s1zjr~~CBk}}Q4_aV$--YM<~N~H za*^sEw(`H8((0&wWxVcKH*L`;27y)J35Mt4@j_e#OY_hUj;VDWWRG^?NPmjGg{X;! z!zX`DJca>Wy>K|19Xn!V)L0_cUh}Y_9SFNd0T<7_BgVJ73NS^z<tWRodR8sl(;vLX$nc{^8dsBz)2ptj8x zx0k21&DL5c`0K%se*`SH^5^9BsVrA!&QPIy?r*@oD9JKJ5_D{6-r#t0^9xrsPNpf! zW+|_h|C^i#-&ns72!?qR))oz%f|z~CM&e0u24ENVv8aSy5{+UgX<`@Ol(>v*VWlw#P4xG!-)bki@^uoUZjNc^NX z1SwKbv1p-u1mcJkPi;kz!YwcKG+gzFG@zJ<1laV}KvxifpXHax_AB{?F7MxG2EmBX zW2djNb!wu&)Sg6h)%e=CeOJ7ApvQe%Qv~;={8^w+%9vn{Lf$|KrfF2YcVk4>@UDH; z`vfcMD+gPqSBMJW#?1SitxVeU%a0|SN4yc(8y$PZ)kHq;nYHV(k)GU)NIc#&ZQOW* za@2GEU8GNy^m`g!mG9;~>-Ey`044hNTm(*}sSdszC=BoAdfi?2c9Q{ET7Q&n8WTEO z^kSIgVNeC zC|i<6q}vB)hY43infLy%0@1@tTJJ6$a zU)^3*rRW|PAU!J!q@S=S&Qgl#T3JJ`HBX3RD`mAu7Io~{<_*$^3_i9AkL#F-+#1_o zwJ6Nh_Z0f7+xv9@7@FsQ9HG5JyHS_W2R2)YY8_nD&1PG)f;zX+fK_E19PLJ;$c}Wr z%a9OW!!Ew=fHRQ7Iv=sx`ZORb`Sz0eCh6>|t-p{OZ>e&@4l_Z-ru2p<#USd(Cx4Bj z^H0Dp9qkODb+(jZNdKX^zAAoYVxbo8wA$ObBpB(v&&k#|MAGP1Twj;7!Hiq00{ z?8{E#v&PdxFVJ~EgHBeUoUMS;4++2b8@6#or*)+anr_7h=4YLN)R2uLYcfXk*U{jkDTjJL?7a5Wb#vKl{**!>)H zlQb6vo~;q`r6kVktSR2i3VYhpv&M^dEl=S9jBfArSM7*nOsy}`JI93iC!$2=QT+2B zp)^mm2n=ali;J-6n?hkT0P{rC7o_#oGK)dFYTpoj@<7}sWwVp4{FYv;V|LX}w)vON z0%3Lj$n9vwh@Hr%P;y_1-P=dFTqEiw>ng()%;x-~6oOBySQG|6f3;fW9?p)kR4Gns zU-e72YyH?y=kUgmK2E%v-85QZ0OD_i$39XG8^^Z%NWZFO$FEvuVLHGK7q1~uOZdU- z8f?7>!@-@J{xV^aM7x7i#-CcPTdxR68iNTWA)}bDh3jsz28M55l0IpPrd|y-7Zxdc zG+NiTkz1h-$B3+}zQVZH-K&Pw@D*al%ITjyho}IlEBe6r;#qK6#@f-s#zjflt0EyI zlizI03wur((R)feFn7kd+XQROi*hKKY6dVl-&(SgZC1NU*U8s&px~A;Ghr-M^(jdR z22iqcZ-+>K0$S}dHvXvP4hh3PmX$)D3bWkjxeCKARQnv5yZxQ^5!12Uw;-&t`k_f= zzlRfL6c75jA8+xHeG%EQY&R9QK}eiEPQz0>ThVctgZ<_4%ebls9t#+@sAJDMLR={5 z&E|ep9|Gnu+_BmE5g{B69#ODf4Z*i&K__L-rO$^d8&Q^ORMP5Y-=aTb)+8e=lzuCE zU9vId&NvXE7>>tC^fXP_HQOv{z-t*moy_Ik=2tNjqCMb;m4Xj-q@rr9;%XsOShU9w zGrQzNm#QIOfDB?HFm3Zmh|h2hBHf7rtdvBF7DzT#{RHQNjIPGv5L&3Pa4nwZ>!C&P zIZS{yAHIX9sds?pnRO5_f_^F7b7&H;ECb-RLXGq3(ZCEt{F#p6D7ZRh3{But5cu2W zw`s3E93xa#zw^`UcU9w}^9IlllnZiwniSGu08IH{9~27WYEhII%_=E$7Cn%=@we!3 z@J(XsSw|9`IO%j@g@|*yZpSs|O0PzfEF$XmMTB->8dbqHJbL-cGT0RjZ`AZ&fxE4^ zo*aU?-K0*lM7ntM&K+pgpdHn-Xn#Ee0BMh+URKl%Qw>e*Zq=%{<8CWL8G!eaN)+$i zfE65WNttWk9Lr~oP6jY>_2$?Cx6ROsyR(Ci`E~zL4XJ(V-WFyP~jBOWBOa$AE-6mtr&nM1zkg@{n_Cy_BIvOEe zm<0M&xIE#7WTVL4&pPozN{io$SFd{23DzQ>jjFj9rPytx(BEBpL?~!YZCfoX0f#O) z)hUO!W-oAgQpRFt3>-eL(IthH89`h{A`M5t(E3Iq9$H+ZNJWrbibV> zuI}F49y_>4J)E#TzQq7;6jd!kR=@2rCX`wc=})=n$39!>$Jh3chpt=YEYT&yDe4gj z((PQT{mNEpX^1!dODEr>ubEZ?JPHC(89N114eRQoJ6RmX9+@#h3}AZ35Oa8gQa%VH zB;CKjT&vuB*@?wU;I`-%^yP)X+^x2-2*=p zZPvw(+2qJyTMi1(EyTfq+uyJjX~ z-tHv4DUg8T4L{GKZl7?HBBXu~7+Nc?5N$^Xt8LD_NL)$-@R}Z7W!>v=*Ua`dl%8=o zq*W!XxAKyN>oHgyVlv;?na&Rj@6(mRhQMc-eWpC}SC)q6iHDY@=Wey64AQ}dLs z*_>|X{19E4F?3hSorGv#WEf#?Sj#Pvzi;e25(%5OxEw6hRxSBTyzE3?A+lj9 zvKGq#;@w{AycH8uo4(a*pRDd?cCVBE1Sb(Foc%$_!+`QY1NPO(n^3D>xW?8-_GiOS zWDe-wHn`7nGiVAsKu9-Od#&rBl$z}CuM_oIBSXpTkh$6h&E1LTEje*pK*t!Hg_SmI z^Q*Y*C?Lg(#KTg@PIp7Or=Q-OZPmD~7|3>G4Ta~Q$|*1CN`0Y?96zP7WK>>t(Y#Qg za_0f{CC|O$btb>0%`Hi4rcRP-f!AB;IsB}x<8gVsCpVmo9j%{&2QJ#(Bdk*+57*q! z(cQS}@Pyjb3J-YdJWwXRFFy0q&0&*iBbSF;A3BDk@HuY{ig}I_@9~RvT3iR;z3&Lq zGms=G<#dtu+Eo(HE81~;$Cn_4N(#8Dl&^7a>swZA0SYg0a47$bnKh%A!jpmqsA`)$oE(Qe}E7@6O3TzR2Q{PM+Gd1sfO1^Cwu$l2`^?JJU7 z*95_EZg=N3o3fISk$rrrHuInspG3t;)VOQ%n7+CA!jc(RR`Lrht4hE4WJLQrkDS=W zUm@$Oo_G3s#lb~Dr|MY_U;Xlc+iN4~mZ_K=68Y^fjYLDESi3ic&I|zDLl6!A^iHJf z9q$(TwxAwAu8ILzKVfS+kTh9cv})mcFs+aQq~Y)#ZPSkuvkbaQFe}U!;J}oooN)Bn z3QkC7Ygc|a_WhE^o~U+xN^;8NL;In{74u5mlO@%j<9g}pw<9b&9gt&+14B&Ny{yTuAhty(CjRFOF+-XL;>4 z4$<3r2*UgNk|Tpu@_ab~-0RJJDfCWn1DgBoP~9lF!~!W~Fdu5ZJXyZOW=CSiwB0Bi z=Nb^y@1E|FSUL7q>Pk#~FA9ZRj=;+gRBvTJ`TX=qCyw?yaj1e2TBncy+zV!nGA z=SzEKn}qDt(No8=(JJIaBX?T43tAtQSmPvRz>PfyBorC)zJi+w4UN}OxzMl z#~enld!e|#SrcQ$W&QKk#rIsZwk$T0MpL)x5Ro-?-SG+P8Uv`$A!BESKdfj*!{URC z8Guhk@4G&YJ)6RZdsn;ZXfg1Z(K%aH^XbRe0A#tm)lN=37nKP;6@-Iv5g*Z6WY^X2 z2KmH(AV;W{a8bfD*%Y3c6s+@FSw1@6e{c=U9wDle?|x)D7%l%^N+POMdB>0}QXIt5epm zK3MgyTOoiGy?jj$%ZtIcW5zFTUM|PDu4c{J9w8Pimli8|)(vzE+8M42bHNR+(-o)I z+vEe%ZD>8usvYa6b}~1AO=3qo(Ij@YoyX=30DY7J#3&3L{Y1;u4xSx72JN{3Hp)1P zUuYOJSk(rTQ0)7Wy2Go|g$H9JG5HSPj)*b<{T+5>V)+DaN$ulfPfSO9B(X-lf1ylQ z=4D7h&W`7G@RYpy(Q4WQUDXXd?-aNbe#LuiMT)#*VS;LvR=O;t%dWCxS$=^Vj5TOK zqFIwH@zH1`dv{b@b;oR!^a8s7N-(IE*)ihQ8H8J&o9YeGI;Pt+q$4C0TFgrgpye!uu{G z@5WlS{*(Pi{Xtjd58PQOTe@bmrhCgG>A)uYo^o&6le=hmgi_uV-_wnx{(Gd-m{r$1 zcYZ0VpG~2Jrh(sLiO-ZK(@n0Nngydz{p7?QF4V^3Rnd^dAk^jltRLUGwf~{LWt@NApbIlKNmWKk2%pVrYa_( zwunnBy_3Zv?j;-HeOPbxDwcH*I^ShLkM9M$rtk~!9BQE`cZkquspGz^M#RkO$BWpS zKKaxeC!bGC{nQNGYIsF3=Pc*mqTddRD-_%){Sjkb|*>IY$+ zD9KD;n^Y`DC0LMa`y)H5eVU)=(*2sCe6z-hJ6`McQ>J(UDpH_;MIwH_8a$9x<{zs2 z9vW>a6O;UNGk=eyiPn-It|09GtswiPrM3laSn26DO*8xSA?7Xc{C|QIGS$DC9B~~b zAVhOJ>X)XjM_47S7G8{RAI?j1oXxyMDCWYgl$w1V)_>|BW%tsGv-agJ&8W+Anrakc z=2Iy)mc3kf(J+(fAuZqF{SaJ4-xqCfi6hx(4@9esJEG79`pLSzMs3a4V*UENg*O%C zk{<4j9)Jfdc2^hN;^bUdtq1(oRr(TK3^}Let2G0nQL{a!HP5Xou2Y>Hlf%=oQrGw+ zO0!N7ljssA{M&w=VbMkbWBjFNNrM%3_9K-+qVVu^E&n1bUyZr+jagi7;TOp#S!p_k zI2bncg~ZEVAPq?k-)M2mJD&RRUb7h4Fx1IBobpVMY~$gi6@ z))cVs{2*r_gt+c?ny21EfDHbp9S``)l+CB<@g4G`#*co&<2bx}NV#INBK=1+1Mqcv zuaaXp`B}U*>Do$84A;oOL^i?_YxekdY3RETMvIcEPo;{kBoAMk!l=;wza0(R*-D|O zrHtdbJqntwdbYRTP(I|4c~2c1speP=-Me37_M7#Gd+C*aK~r*flw?*WTWx{lya-e~5{Hbr=dBvw7Z2z~_Fs?eX)`h;%;h1@Dn zYB8J1@)@X`oA{CNcxsavw`gFx4yX9(-#jjZeNaJE+xiyKGxVmKlE$TP!;!TyZVD4p zcb}8T9G>?2mNj;dzx>uxG(iA{g z-ac$qNT5A*qqoGV>~(>%hi;U93I;3{padiVCO*4S`ZFI2V2$kZLxT?8E?^Dh$qFJz zp7*-)o(q~;U-c+!=AA>TFF$9-q=intzK-#AggF$>)%j8S^4bgaNYBJre+Xf{v?Dy` zQ(OaJdDl7{Z?V5(aHumZuJ7HmoR>y68)aukiP#W4vee336&_$KWUZ4gFg!O|jhf1# zf4+JF>(^dpe+--t7K9HA2BB|WcE*c8zuW)^KcZc`n9E5_>HN_9p@3EZ)yV1mK)YK| zsZB5hP9iSpA@@dywm1u>;zh9;2aJ-yv6AEAkr6j&^CR@mFTYL2%=K#-iq;om@ZV?N zVyBj-)^w(?_RK#1a<1#^2Z_j0Ej(|GpBQobUY4s!XU^%dW-esO-2x63LOGboehxEB zK7l_Yc-Jb;GV8`xNugENt@)olU0<)LhO{k7Ygn2TK#U}@97gENE~t5Y~W54Ph7WfhW*H$2GkPz za9%Tbh%Oo2>-;|)U3Wax{~tf8t`HH~qc0&UE9INQJ z2^oiQMqGAA87GIc&N#=7exH8--s3*+_viioyk4*O>-Bs+U$3{&o@327nbIxsy7P|- zadDjLf^o(4I#q(5EU{brf~Gh%a}LM?Kgd|e)Scw&+2TxouR>r_tN)w0;yR}3S^ntp z)x)Yl&)#8OvdS;@65?2nRrReIE)(oB>W^f933l3v$$yyBB!WaQ<|ra^tfthl>!fXb z=Xuv#WWbeG+cOfn5;r3@NUyJp&ECAbgL&WVFwfbjwrc0@MY~3S+OmzKOP`%U93IkAeOVGOwuFGvrUD0t*c z`2B@kr%s`b$++-)&%IRHue1MmE|n_65kq>S(1}0Z4QP_^svURJ*vF9%V@1}}p`mQ2 zX(iCtGaosLm2#ycUrQ>z`isWz=7^4}eFn`ZEB)NHj0Ayhl z48JSmRd1hKy!F4)^sEmm3xe5s$ADmsT;3j}(Oki=fqYqvKoQeL%{% z^8C1<#L`}Q{7Hn}Lnqkdb274ue(BWl0)H?8V-qDwEUq-H-~u=975e@BoLE5o0u@3G ze^a>A4vv2%w=a2yv}$^y9lsDW%6k~yYx*LhBa-XS#?6PbA|kMOJ7cdN_;B+C0LRGew9#d{*QQ$0 z%l?HX8G4x2?bP(U)=wf`qfj_3!oBeQLS|#Hl-Q{w_}Drr^4Co%*5otymVGX(ypce| zIWs<{o;iDNmo*Wc10=yY%+umdnf*D0Gag>TY0~qYgO*Y*)jGZ(kRC?evVjTsuOa_V z&?kRyTo740H%YQ|v%95Fvj zHTp@__Urh=k49?u0L(Md-ygnQAaiw=Jkl~fYCk!5l%wp`jB0<<)>wT0KSlN32hW|4 zak@K$CBelcdxgYo+u5Sq`UlsO+uqE_IN~)&U7mXx>e^U=IN8`;BV`5fk+4|x@uA0PclGPZj(SbFK z5$##Za0iz*J)dW6*^Ir8k~11a?Z&sqzT~emgywqoY#QDR&EQ@cb-i;gme$&e%<#A) zVP{pPF$rOh=8JI-u6*Sz(1R4(S-ZQLm>ezmNhQS)W|J}ydpvm6cFCD3Q|NZ@0&DsX zhG=J@C%TYknf!5aZ&*eMyHj@1lDUUPRJ`e!m+-lnwTAQyjwymy zbKej~B@4s&*K3iBR5uW3g6|ylPe|}9fg7*tbI^t#!jgY^J69W|*>$=DCAsv>Wy8Oq zM+x==M;Hgszo5_W03xQq6oxybxgF3wHP3Ty2baxlG&s-SzTpiPiR6#=<${wW88zXG z&o^4`{uXQ$Q~WYKlp)e-asHMOvK1@HD&yk0yYMruZ>Ii%K-!)8rL4V6PuDt4(td@) zZ5SKdFZTHbJz}2G3s@XE)4eWL&t`wuQE+oBG53FZF!i>=_lBNEc6B_R!@4 zEKC0XB-y|0mlF#)B6(`i+aLr=g z{uW|9AV4d-9p1uau#RA-4s^7!kOQ=($;lahb*YGR;7D$5J{sHQ?@w?uRChpF$T~)YHh$*`g1ndD zVIz?zgSq#_K4O%zlU~0Q?10*ajhqdcg$udT^2vo7@#(YTHlKSx74Y@3YB6f`UqWwy zF{2adp{yX-=yYTkV}WtcE%U;G|6qrx*6st4$mStdh?VcT60S&&XHKnSvHro}hlSp$ zSfb445f}VLniX0D^%rEJ+1GKZJ@tn(TI+;jf3Zvza!AF-cCbjESJO!V7fzTw97B@W zUbeGAfLfwA*$vO$q%mUeVL?hnsfg1!?eW^w?7P`hI_>I2Nzn*q^oKWBIhW;k_JCOX z()``mF+2O~DtDAl-KOKz2Hgb(J}c?62KoC!G)IHxBbBv`yx}9FFPv-I!Keo#Tc)Rs zr$kahC4j82bFb^)N&1gRK`N1<91$v(7gFy#UpjtFpOnbyk&FO;Rt)sEw2g7ft z^U^p~Mpq4Nif#wFt~Yf1JH>RA^~yvkmXm@;i1Lu$U?;+KzPG; zhaO@u_|732kF{t3tjlM*tm|F{%KRMfq$fIv98~#fYasgdx(%_5$KbBC^GhfOe|(0v z2DAUUj_MY-2qFFBY%FI9iKP*ket^; z?}j(X?->iOyvQHw!22yQU0S9t^TMJG9n*L%P3b<2j3Im4<1W`Z+EtSy?yQ_}Z$`%B z<%jpXY=hNdQAbgB7wx%roAleP*ZzVsd0XTcbK5Gg+W?%L84(@LU#Q06zrc09NpVF1?67 zI%!YkMSfpmUiu5_(-=S8;%XqrQ&R}Rd)2M`s0903L~H)6+ffc$I^=3l9J@yu!Ynnq z;!NlY5NkeZwC9b4t|qwt1?dD-{|>oxvFlGJa=gaUv>C3kiF62goU$y)X`(6ZWH1Wz zA1=-}d0e~{o-e3&{JIC-n|2cq-m5M>Z}c-?T$Zc{uHG~fH~MYys(_JjYMz?sG;#7D zRbsRFK5bwN8j=*$_KgOVNu_)Ow;}Ncqc80<@1Mb83HAn(%pM5idz&==GuJ4SR<4Bk zN&0h)(ABTCF$X;o^)-x!?^d^vUdq$bXsDdU^jI)DWXqF{@ROn=~E}EO~MvAnuuMn3)m(x5xT&)QlI$$-0bn;sWJPia7YNw zc6ZBe`U|wSErcxA()1Tp5{_G)E_$Ltv4sE}g6Y&r_3%0RLti`_mbir6mI~ArWB~Z{ zWpY5HWLp^R$eg4k0fLK9w4${XD9%qU!k<(`Z52PnEi|aA4gUqX6SC7=Y!Eo;+B;UHs$-?lQMt;J&?95VU%muafrjXS5U-%{smpI9PTjsN}D`P zA%9v2=p+10-Kdr&d68{su6f#Rd?GquyRrHMbb+0Lu@wo|!vNMBa|sMRSj+l-ih-_$ zk*_*vAV2isnYXKAW3tu&5{|28&8N1~zaRi5Lgg$Wh#Y>CtE*HCs}#TF{k<^iIZB8( zxU1;c2Hs&;9giTNi zduDk;3Dtk>ABe|c>#7hwuSbAMMBW2rURB|6ZAK2LkBX5cGQ$g?G@Y-6xU`LfeDLdp z73Okydlv@*LyC{g?V2Y-iCISxZQ{(qvKG2Yw)V$0o={AXedj_3tGlDOLdF|K|hu5D#I`WH7|?>o+EksBJ^UZ7NfG(SRnr(8S1Q z3R0^kdnop2t5i={NWQt!RGSg}PGJ7>EIjN zhVjzJQIE|hqbo32>4d31U8{1eu-VsXb}W(LZf}rq+*hq*5Hi*DY+cUm$BOi?v|_Zb z6cDIqNk11;hliQ7>B4|2hgAQckPgk!2WcZJ2ZDh2TqEdRp)|K@VZ1Mc>8a>B?TE7< z$-Fn_A^=7iMtJncj0!T4HYNFL?eknCRna)LHKf5{?J$CmC4@web-NqP!8x9L>Um-y zWy>b@7@x1AdLYUAi}x3WEu)gej?*5BBoM9GYQb$BS9c?c0pz1EjaSqFe@BBvs zSItUiTTz9Urm${9Uauqb*~^b^D~6%pG&iw9WQq&#{czYFylM#h35);S-K6N<>err^ z61p|<@yy$4b(G!M3qr97qW+_cx8(QCbZ?bg;tC&__2=~bjSh*cy6+h$6?GBgWwTvo zKdYS*X{Ktu^Yg5jf!(6e_X1iB59kfI&Oj|(O{LknJ9F-mi7Bw;@l&hOvrd{cAQV{B zdE4Du58u_e3oI6DVfg3Z|^Q@S z!$CJ^+$LMaI;=4Vpaw1I?|jm@&smNyw!2iAKV*JV;E2onQkk(55wdg)+FAdgFLD|p zliVoNs#qh?v4_idEv{GFkUAE0B*KY!`Ej;_6P6sZHy9u(2{iE-&_5@W6NB;I*K~9C zN=3at5;v+eIi9ndJcp`xUtOzI(0<_`(LB~SbzQc~T`DXCwLU`buFAX(OuPK;cB z_1pNHWTZu-)~O}S9AqtV<8&l{J3IyVKt~-BcoaisH5ps4-t!E*-?wlle--!KzqSPG zYSRu@6&__d z_OmSLHdr!L{gY~hlOw=_Y6P<~ZLrkI56rR#z$-^`QDyqE;+LuqBau{bpy(eFtV@$& z4qr)Oo*3ihi1>3G$(4OL9?Jj{ly1oh^T5$xdiq~VdgZA{R^Ef`K2(Xa60=^;1OD2c zdX$|5cuKm&{qnyca{%l-0AP~i9SjMc83o|<2KZNIyCcvk8A_rBp?xw>JmfEkT9%4* zHkwvz=G(rqs#Ws|sbZfu{ObwidtI~0{?%U*@X0?$$>EO{$H<80x-Ovtr;USKCaS`D zd7+hwvMC^~*pSdKy8A*(DAHc2q z?_ZXZD7lE_={u;;1tRbn;54B7zXPmXIAg_;$qPFJQ}$oR|UxN(!s^cH97-p$aTD9_T0nVdSgqhUfr*lb7Vb2bN#^^G zibKGRi2MI$d@)%++%c{I-IlfkPA>Jn9SR*eFI+Ote0G5B(0*_KbC;61wQxH0FQ}*{ zi0*NZk%Dx~kkRJJE~f7RQNGP#trpA%dV^9(B*1_V&S&|qOH2X;fYTFuu;i=%UXp}1 z6vY5AIB3P7Y^`mJDl<$*^a0HuxUxG{2vdYD>Ua%Jn)!nrMJ@1#ZWTZ$+Wb*n=nL(R z<#Sv#cs9VsZeLphf>r@Zq1Np+Bw+{9hCwv`S>Gr_BI&FlW!Ufk2K`Y^lcz_BV8Fi= zahibPa)i2Yp-vBkXm8Zvh2hMTEytj|A@879>q=w=aYtV5I40D_gj(0_z$(^UdR0rI z3i?3bR)vD>X95BWHn;FuwhyMC`xUo7P9EdJbQ}~jkID5T0K)FE1-d9gOEG8i^&J8i zKg)aiow8#_MjPya7OeDtMc*KQyrC^Qt~+Y{l^(a{nN;@w5t(DFb~9gT07cz$7(xNO(>p>+QW@pudm zp+ihzLRlSIJc}d;G`Srz#g|0J=~(ey_0vG}BQpzDCifFvCS4Wq+t`X1{r9(f^3%rz zglcuWvGLaJWJIk75=RHzDVJh}?zwmeK&uGlXeg2S97Ts`73(mNEHJAb`u&aV>P2t0 z?V%6pGris!g4D-kmGTf*V{vPtGGyl>wVa=|2i`8p#1sNr{17V0ynHT$jQ#|gM;7(# z+QV#Qjh{TDA~UZ7?1yIRTO$A)dhNWaEi|SC6p7g6`NU#*`r5ZAK8$`oqyHDgUc9*W zL8j+xqfGIU)0hk)xSKJzqv1i&LXL_9dFX3l*d}Bq4-m^WQ{(5@+b(Vb>;O-b-WAPs zq;D5QauWAKf(0?zfrhNInk--s9g-}36Et$=x%+`?Q9ZQK%7jOOY#vIUPXSR zf1mrZL)VMztbX0f^->iCckS~KUbxXUe^OJWWIL46M>0S_!k-xzgb=J(hptWoj+?0V zH8C_~f@KMbo3W&SZpMD2_j)(HTfB=nM>iC~aCpctf1*9E1Dt>THyPVs^1#U1ELgZd zwg2Q}oKR0h)$b30-$)>5Hil_eCUYXdT-)cJ>Zf;!=yxsPZkGDo! ze+5#la+sPa;`k;5A|MeH&D9!#qN&g=sP7i-6Q=FnEpqXBz>{)PY|lrBy$hKwvGumy zjXwliyF~by$w&wfU&QR~nrkfnf|DCjNM&?zJYj^t=mXEy?=V%~hab z3j8{Fxkn2ac|V)Gk2IP%zGn+M)dL|}-v|oGH@xB4UU@1GHdF6%uiiEtQ|YF|&Jna_ zyYsB_QC{NB0Y58(%gpC!XvVWr!6BtB8}4ZSDz55!hrvO$q2VaYR7wt-Iew>D%cB=! z3^`)!<+)~pyEPWn{XiIcW1E?AOTR-VI;8wkJIGjh5xpL^Pcp!Fw0B!^ObI}6(72K8 zcURD%2Sur;>Y5W{;vJ>Zz|}~P$Tlm@DAZ8;ceOuFM-#o7)}J1yT*`Z?2932;;pt*M zG8HtStWFj_Pt-s7M9Og<6?(9gF%QsqJZiGHlvd!Q95e5}Zo@_oC%&j;^y&Wu$@om9 z`(0^L=N?t%%EAeXD={;Kzn>iKnMI=OotyWhU2k_aIFUwIjhl7aFM)mRG)9AC`UJo+ zR7%OzyPWG*$4Uh?)*n1#`Gv0Me_so={I!8!@@W40R!&cX9AnstJP#2Z0{G73{|QR; z8t0`ZLuAAm9!{r0v#q>n>c-@D(U&`}n!CM(Vl36%k8pgm4+8lM(jvJXrXYbfBb_J_ z*W9_0`pY_3C8s85CzR8_DKu!emfIUiJ-N4LB(-a8fgtRO@VB96=3d{@sW04^FG??F zokZ;gR2@f~N6l2i`MWwAy3T6}3)!Ky-!~ZSwmhS7IJ53o&ng2J;Pg-AHeinQbq|#Q znyOJI^eDm%2n?R3+%k4wTD}UwSKGLo#oC21Jggk)cLb}$>Emye+bkj4pPAQlTjOp$ zm^N_u=bIWJ`}w6XhVlWhTD_lSl)Yibp(w!$pRnK4ft%UH?!gwZw$Xdwye<2b-`9M9 zU!Ir|5hhh|`9Nrson%*9A(V_rWOf{B7c%mbk+Ue~oA0tegSODEWTV+rtG!H%N5X>A zxsAjs*aTMC_25WM7!1l8>!&W3AM^L?wzf^(-*}XJ`ds-ExsOjB!lul5&)kv}^SdG( z8(jI6a{cbwRQnLvPA+67>AMnjTv1op@Sb7i?8Ni&00sGZ!jJe*A}5c-(itA{8LN{& z|M}~IhsvHAxzf?s)$CxaGbGFLFOmNu^hXJ?4%WVMi&z0LX4SU#_2-9DsWBkPQc!uH zJj)JKr)IeCIp9J^mZvHqkiCO`eWKhhoe!E0>oA7<{*d>gQ}eJ5>y;rRI}DM}*+9Q{ z-XTEyFNgtOa$9>aWo7vXo@kK@ndcNvoKSw)zQ%P6HB>2?{#L}<>heeLLYRd;LZJbEIGa9>pBhHIyBAiodV1ce-jQAHE6!xWL+y!=5H1=!5A~!p=~H~!53H_f zj<@3-km|SOMFNTR{W64OFN6?({y~mVkmzt&&<}ns*l0;faN139;us6fwdq>+j*cPt z)0V|kZ=2t`gUNFlmM##-QQncCG9sUfno-#z6R*$x2)iMSlClVLAy)GOwR$9UzUrf# zmxDe6naVnjy*Lm8nsoQOo^T`Ygx3IGK$RWq*dbZ?JT+Scr+GsFU=Ac@OIA0Kx-XlE48{X>Zp^?Ep`Rn(LefsmYmMh|q4b4)WK zC_TM@<_RK7X)yet^rU*#$1>%Izb0AH;RjV&$m0yFCI9=>0Xno?PSRUOaJ5@6#G!H$ znrj`QVG$+t$g5BfXX!SjyL_{x>&CH*job}y9{Xg*G-zk8Vmx?$Ost%G3QylJ7rvit zXsC$9dla$yO=3uvX5VqFB8Z4m>$FY~h=_iFv>_HM8Gh+Y5!TP}z_G6wbMAy8hI65O>H|e2x<+r_)Jh#Y39h{=d3u-3UWY*B5lk2SQrFS;^Y$KpYV~^6NLK?h* zAUs_k3lV59duoz%ZcwR+{Q%DzKYSPM$v=JjTOw0BYtsO&R(3|kfqRU$S3;-Lr;e-) zl3#M#WF33jB+e{zYP7_+op8|_Hj7C#vsnk}I}}XBOF{UvoIb~D9tD+TJ? zt=O@gNy+0)B!bAJ^yLQQ=bdKP9=tNV!3iNGGF64!7CCkO`uKD=lz>Jv+L=YdDN6Nq zK;>8^pE6|dGJ)DeS2-LNjQ-%&E|w!U$AA|S72Y**4UPp2-hGzHp>Ym%3%@`zO0l}e zXSL-V=1i!z@l-l|sS~0Vv6ks{V7_VBwWG$kWE^13Bfd6+!`gcqx7;(7vI?(t+1OOx{Ufc+2sT2DZ{s zSNis*sO|&H<&1aO1_^*dOfQdbsWh}poWS73%?`ke9)PpEL1krLoB))f-Hn8QcOro$ z=FlpSZq!G9;Jo*kon5Hx1ONgKWDd#XTu1d`u4zZhqcZT*^(RA}fMfhH& zNfgwUWinZQhqW8WluNR8s@$JrqTq~h_8gWhI)Z#3+5NB_5Ie80&_hwuf?5M(B#U{l zf^=kvThS0u`dRu^yvh$WZ1Ae0$|QIs-?Dw4FcFEvsx4wgTo3k4uIrkO&c$cyAHgkd zr5IH{d@Fj!wdTiba%zshhhKEQHpZZ=;DE^Ic-n!}k2L5^xpwy(&}vk1-lZ%#Uj`r! z$A^@t-s@I#K)&11rM*S?saSz z*!$CtEHr;Kk`(9;Esop%|Z(}Ln>_9O`AfRa07r+3%$7kuBjkP$fuLcq~b8- z{gOZIU!GXb#7yT%{e%aoK62h)8h!C%KKV_~{Rd6Wkdx`5ztFiJUv_75Xrqa7k~3-_ zbdCMjt%vlra1$S&Hc2@EuE*|=r6Y44$Cw^pqdzwO9~FLl>h`zZj_^2-@Kxi*rI(Tb zZ_O&r34cKh?YSSoXcalLU@-*gBFe zcGmCnJfOj7+fqCzaqOX@s0wQ0OX7nW?GKDp00D{pRmj1?e!mXW;n{V0|Mi~u`6T~o zS>oGorfCb3Z=MN$Y;s}yMk^Lj-GJ7f+oaAFB@!3_Ta@&oI%oe9j*?52fiwXD+c5r# zQglEOz~8u&W;p&4h#rpW_7f!03OvARiOva9p>4td(7Cwl8$vAKh9wegvpjvc)_ zz|!%BZa9KH-^_ij??SC(roVDWmA_A=B(r#j(%lNxO%k1C?IxPHoVSh;A4?4j3S|VR z59p)zONdyy5LJ9TzXvT%eVt#G3M*gv%3CsF#dJu@NI5nUuafrtBgBVQe1rA=OHF~Wg1)Ge zNsoFc?oSFb5>#^DYA&IRp*E$K#RIBB9y>lun0u=XH`C&)Aq_Il?*{jM#`d>f*l0IY zhu<8o3^;QoFrl&PX-4IYyJeEC&t<_kXY+{FCUb=mUNpZDt0ytt)5v&c#~-)lX$>EU zf!yg=U#NLmL*7%Wm$Ar@IW zzQRSmxOl>ci-xLC_lZfZm$#6u5k326Ii-COZ|8nj9-jMP5|x7p^b==OHW~}4I7L+J z(fhCJo@U|=kzG4joDQ4~F3M||=xb!QUwLYY_+Qgc7nx#-_~GOw0_z95T2-*HP%$h* z%B(~4V7U?}4KeHBi%zQ(aRrTcWwwKZz&p&0?AIS!(h^;@TXxOBc)K%C?B!s&p8KdSXP?j-(DOQ`%qzRZufEwC zA?jYVtdd)BR-S9)etJ`TkFriE?hn^wofzVXRqww_#fYWM_1H7k^RVR!tjW95_|r)a>K;s*Zr$6>oSjt9~oI%ek% zd`@A}OwE}OEakafpcGNS`Fo!;)8Ip`=(|&oMf}wfkMay2FT1vj;;M^VpXHxb6vmEj zFXtp1A{?&o9}F5@DprO9jUMVPSM=7EVN9gl$~CWIvxdGE!gdu9<@!K+)EV-5KeB}i zKjD9;hOEmEc0qp`4d?P&ZAq>n=IN%FH6On;y*_0f8KFCszXad43*%m@4AborKS`~# zB4277y_TJj?e2A%qA&F9@p*Dg%v_3RV#2~?G5~ymgOnx@kPJA3bh-MTgPV-%wr=%- z3Db!D((L{CB1cbw;;8#Xx$D3cE6w^Dr&QfpBXGgc{zknBm39rge8q@<%znwgWs5Zj&gLhcS=G$s z+e*$j{NX(mAt`fc3ZPPLzHMK2()T zUVJ!My;iWx%=V8U6SX1LGv?d;NqvOdEr*8CaqbE1)q4C?3?&2ec&xC2>R5^AeP_jy z{PBmpyutShR$Bo5@a3uxSKzaFvAst)Eq#c+b3|-^z$t$w5qJNbfw7@tgR;lbK+|r? zkec@1Y}&1ptwg4vCnc8^ykOT91GI6pr)S(`yv4eI0n*^HR+R+OGXOESIFw9)HuDl@ zw?CfhEw z&Ov5ItdPy0hDeA-j`ibw0eVUKRNVRiO0dpVb=PL&e- zj-HIGXP&MO)O>vtR^2M5%khDM@Q{9Q7a21(nr%=tBFcI?8LN z!pp25c-naYen-?~K#=m+%uu@utzx$;0Iz;r7P8e>ZEru-Kmocs36reF_lC~Vi;5f8 z3A9@!C)z|vcUV!s?g7B4XJ)5;KXciwSd6r#nRNp%>c>=7^W{7P|B4twem;*}%Jo!R zWfomU?p!g%)>Y%$zcH^Y*Q%hy-_!g6eV0Fd``_cHrT-q!u!S#i%8jT;4Z0% zF1q|Lw+IKLm3;-e$^i}mU7RE7YQF_UH=)AzBE5RkCB6a*Y}d{P;X>5>(bK};dAqn) z;9(3?eWQaKQ9)p~##PhymZ)HIuYWjeB>+QWyfM^$-MVa=!lny)glhv>vO`j&#=P0^ z&^%-dKtNdNzTzq&;71?;>H7kuc!W^7$Sn>S7EcMxQ6Tik2nEKpI#8lnA86u&kZeXO zBCG(VR%mYyxF$vzhKsEK2xh2@lS@$T_@t;#6bs4TzLuNeUSSWv%tsxFyeLI#0}KiBH@P zG*_#%pTfE=)8^my%?DH)_(WlaX8|=ib+Q4^fP6vbTKPoTi)3E}x_aa`od?R)Mum9R znS|38_e+WXwp^q2H@$h24gKui%pKEKYm#B|F`Lp+hXIZZze8i7)Q|~b0VE3md7<~O z1527RPFtm(U8^EyU7P113Z8sF8iTiG0~QMWR~SD4K-9orj~Gc<+@Udog&rWo)TcDe za#QXwRlO5d5?jkQ;l=BLa+stee*i)kvx>tM1nznl$|dR0nrIJktUn&?Q(V_8w=s*T zScJlqr`@IP6ywX%p(>GSg?ZqVOdOjq7%Ed#BblB)O5?nVo1D&ys)iKQ ziMB^fzqeHg6o3xZTCU!?N=Q$Ro5UKtpH+Z}5%>%)3?=z!it##Jc_=!hD3B<2xMT@I zEAl4KEg0R89pVCO=UDi&=lpscU7_o%R?7Twnke&M(_Z6~Jmgu(fvUq3h2xya45L~u zw*Y{;`JbWHeum7e{{r{?pVDig*0nW8uUG2vR{#lNUy>%m0S z?#6veJ@~jYf$#g3oiBc=Gbf`$^5t`gSsqc@6#)-}@*JW%Bt_fmP48#4&-`GeTBPN-sT{I)R-*yudm-^$Rz)Q+Td@T7eSu+6cfqhSH@Ffk4x>3!e06f)LuV)^oovG!vbZclZ_IZuN)Km3fK}zX^eyhf-Z;QMNz8gMzO`QCqK32A)9qbFf4b64LDg3_r zy-71#$9+TEH*VRH)K2_eyL8<`@JF2d*=ZP>GfaHN!$;GJ;R0<%BXT`+^$&T@|7$gY zf|L*c;t;zf&;!R@+*zS-;J*zI|*d%j>O~SlvyLj*q z;Hm+BT({XSvh&W3MIiDgs~&*6?57BnM^vwB-GyA;Z&}OvzE`dkhnm^6+`Dqkafp8i z1e+=Jy|hiE=mvtBXSdGI2SR5WuyjNVTh5)Rd<9qaFP?>%6+~3*HXuOVBgy~0JeQ?x zWk?=}TEUi;$b`ioGE6x^BjmmxKp%=6%O_NKTb{r!NW6D~^y?TgW9%Pt#r*}~_e-d_O@#r^2T=M79Gf{UI!zVMC*`GcSNkc+8z z`2RH}%{7xbX3_qsdmHp?Wb({n9cwP!TjM#9y?s?(1dhTwN6hF(1K~Z+t_|o0w1?e( zf#?%OE}WiB4#83O0o|LXBlw^s9Uw&y{R;v!b;#S;*VvU4Kza}`WJ{<_JkBVd9INpY8pCy9Yj0x?x%W3i@s#~7qhgGyLJZb9{GWNh`c)4nKGTq^1hk?9 zHtbO?kfa1M$-r6?zHwee?jBY*(58-b{)S*OLMd+OmjD?dv~ers}N)ELUeJ|OST+SP&_^VmafbVnGZd#vgHQAu=^ z5i|?7yHCf<8YN-)I8RS5Ln-~@%>N1j&u@~frzq2P4n4(v2O!6_KBgfl7g?Eip*#-A zF&E(Y-RRZ>j`p7z2`(0DI%_rEuo`(NjcKLNy^=9H6raU@->IiAkoCk51zdo}LcV~0 ze1$Fq)2*1|X9rim17bktTU%XJ_?evn>>gKFB=_8-78zFN?@M#rDa>xLz_!e5L*$xD zC~9Q!;||lO3k%Q*B!NZ)XltxU+vRPpS1!|F`Ys^tuSyBjRkDRXu*8?%aOT=o%t1Fi z7?AXJODpm1C~CS)k!m)6fT=ClNqt9=y=cWe>%+qtCq8}PT8zb*T)dh_e+Ug?e)~5z zAh7H+i&){7J4(Rkw8Lzg|I>Z%)8ym%;L>CT=6|iK^gA@(>&V5IWa(kNQ0s3XIr*o} z&+thYMb_~XRlF-ECR#OYD26$+Ur`BNrW@D|{C_ic1Axw6p8o_T{<#SG&z*llkKJx- zyBzfgb@qWCz+*50@Z2W51V%HBw-!bH7=9nexVPECkST>OTwuiS?oj2sVxaqc&6}Zr zL2viDFo#$kOdV7AKw(#tDUQOPuSs3JbQ8MQ54>ch0&XiITpSQ}`qKnpgQ_S{Zf1A? zhjSeWDO@q7a?-xXBPAq;qDau@AS>7(vDpG&V=ZCqu@Tv&>>2 zY&)4FhB>7>$7Fp{Lk6$AM)G$sCAFZ-GQdmVO6O+gYTDRPr>N=G0%Myu@soq42F1J8 zfOSZ*dA9=vvff?Q8>h)(|MvOit^IgyBzYRn`~h_AnmpBZ0ofzFi=r(zU!24`okcF0 zk)?GRcQ=7Gfxo5H+^_AYOqf-E6Wb{j}o^aO1HKA2c^&i~cITkdBRpoMaRrlt0Y?dm$2oIV5l&AM(ux}Wt_3}f>ET8*M*pMOQsnH-XB8ls0Pp= z8^9HIXakH-`?4@4gF4m~v#4XLj#yS;%EVXAea7{)b|V+0kj3d|Nx;5W4en!pzW>4% zt7&{|=^sI61-ap^ox$#DUvqwk*ol^vB0$Ekwi9NCDuH52m_p0T`38FOBdj|z$=Ms& z=A?G}BS(I~7@I&hZjj5aOHfmB;=4WnCR@*b#CYXw3SHnO8_9er4F(Pj^jLK{qU)gq zxU)?b3}!xk7ebsFD$3Vh(!bL%??(Wz$f+d2mZx3!n?3~yn48bEC&Bmy+M&)i^!LXzW!Vqp5LZYyi?NjrE+%sL!p_~P1=GX@)Rv9 zb}@u5ypRO$3KEL#{yf@!?I?FDNi)a))|;3C&Qr^6JYTIpHZ?^dp1lnHcpUxllAy|w zso$G?gy&DI#3yOvA3OD$wJA4&rt4bHKOZl5yIv4DVR(v}Te@~rLzk5g-|Id^%hC>T zu`^=DB0`Ekr_OVaH!(Bb91oSkkcq2in@?WwsL{_@)d{6vqmL0pTd9;{ob0V#{|H_C z{h30D3ei1vX>x`3;N6IRVTlMoM|mEPoJ=|Qp)WB$}(cIj*8Zl8kDneC+B z63PR_a4vriizY#APdFCW|4l}4&=Gw5)ES(8)ujOm_69BWBg?YX?6N_u+S%JT3{c-= zUrFu$B*yn%4HkdT<@itB1a#nqQfiBWS|Ll@pV6UFUr zmQY2`3lM-1^YTQzwD*HyshTx*uE}HBY1b2X+M_U&e0m!aHC{~{56omhO1JRZgk7K* zSn_=f>2r=)2PlUmT&dTGkl7HD>85`O zvUsHAS>LSi3BA5vPT+c5On*kq`_|>OlU%ow;Y{;C^JjiFdsCPhSwgqxiO0nZ zRq%y+Qm!IAVF3Q3bU4=drogTIlBu{vB4K8v!pG}X*Pehlo?H=aUE@4oZ5UE2=^uIp zxM=S%ZnEBG(XGH!S0mDJ)<;Wy8=P0JvoLf!Il`0M=624f<7f=C#k}*;=?+$qzhRi= zRq1|Lpmjq{(w801(|p4rFqmhMZbPoX(bA+^)4bTcmHt9nvE8TRFk&8sWOx3mePb>S z+PlBK8fFd8-w&pg&T7lWig=Ay_}{|OYiz9sU)kyo_#bK|vs4#gJ*pMy7H`Dgu(K;L zcyUE0UTxnsHm@+|T5(V>f%% z@G@d=mcSge8vFpNN`9H(i3t@>ee>(WU76tGL*8Hc-!Ie2vxEl6+aHeqdASH5!zyGR zkfSEEy6a&-l4C>p8ggUuz?0Z|gQs$&&pbL2&`PCR0W>UT!}R>G#$H^;klx;rr9$bC zDyh<41%cj`P{K9U8VeTG;OgNheWdDGny=Evq3N?2jwYGp(1H4qaxOR({9@{l&hlj9`SQ0UsYO!G8QEk#U{0mr0Vnfr!RdmWFfhLHiy&ZVf%ce$TW`Q<-b<2)i-3 zzv;o96^aK;>qNa;pOs+8CQ=Sw(~dWYyA0NfaBtt3=L8qSEsymO&}stAfP5`RQ%S

_pT-Y3(mRjLqAmtB(hqqsET8|9Ky%r7>>d zvi3W7P}bWYxV!OpU5(Y1{2Xrr>+cFl&@JtZyL!3$)E*oz7iSwn}l8?>UL=EDw#hJt3pjRHQuUKGMrvGDf%%=wV~`I^LYuT#CW@7$l)2l4r+sIp~WGCXno_Uq*BR+lE`+D;c`s zEpx(X!9?47V+bmggony*4Z5W!4P5EaWJF$rr3WrHaQd19ZTwds27zK-+Hrzqo44>5M*;NGpCBhqktJd&$)aBxU-cLC^r@`jR(;Ye++oLSyGY zoQ4{l5OjZzk7TfI%&|VLSapqxb z-z-jMzvAPw{%LSxB53a@%II>}%bCOg{9XlFYNpAF$@|CUO!CJ5a>9whi$N@rLrO+m z*WpXtnEq11Q<9oB1#|MxfKCAPm6|JA_m%{w;RoROn}gh2@g;S7*Lw_=P{q9QBX2c~ zWVS)XdJVQec-0Qpv|D>6-;>qF=;`<|xP{5J_!+-R%>%W9IqA*pn-a7mv;R?a-SJTW ze_TT8^ZCrRj!~bE5|LYpB;@%txepJr2#l;T-63Al&+V(OvliH;| zEjKV)1+Ie9^f043`d1D8B3^IK+&fyM_o4hU;Z9#$Ob@&4c+I1u!0G>iOviR+ z%#whGgYxidx#++lk}iE&vFy`*;}VL}F!ZmBvkJGSu_s%@0@-g=T;aB6V*$_BJA!y_ z2re`}Eor%$Bg|wE6)tp{&!O}QOieG_G5+nI4{^`-k+xCA{{`2mU|ChNIOvc{DBhWZ z2g~n!6^vdQ>86OZF87hUaW7<^qJSiVxzc~^wLs}^-CT953BBGegqN}54Ore8wZKInhDpE+2zxDZ; z%iVsz8z|dob6^9tFe6&GX`#q>|jCeWCk94Eq1j z#Xit*y3FO*N~yi`U+;1jo7kyNu@joQx3xDb6sd5jj4G3^ab6(;=$?p^y3ck@U9nFe z_6s_$VM=wrw2L)`yUu=m)tZR$P4yHs64o8eGl!?XIuTZ#SU*%Gm>$$ox1y?vmZhpDoa6hqpINH2Uv zGBWd5Id%3DN!Z4vU_he%*&7P-+gfYo^Ljj0Eu(V5j61ts!ygJ*PV~6b3r9?0KPB$0 z43whf^rFsXH52F3Ha{2i1d2HVu7oNXzk`LQps(PTQ^W8TJJ)n_#H>H%+wi&5LU0Ud z6DCBy@Es>R%~4?xJ4VY$Fh=e+nn&j1`T5>L6HpoVKKk#DURze6{=^K`k^5L5I^%f9 zAWhoB>pc^})VB{97fU5iX_+QroXpzcnvh4-)af3Nq6{?Je0DCT+v#F<9-SviTLt?< z_H?RyFF?^VoPg<===S%I>c-o;3TL~-UL|tR>BE3<+};Vh8EcFwW_t--Xpr3bfVwg} z7CUamwaW-dJH056m~7pO{+tB5v{?&1Y;CC))Mg_^Fbt z(qaMpM-d}eD+3NUx ziEALPwV)kCj7K(-Qq_M@Dwcf&lkBrZ!3d0J%8u$iq(tZ@V&~-z(bt#ztEZzq(UV@U zZC3AywI+$*Uew99_~d(EKTn5YG3nW5%KKT>x~Fu#d8&^#6jxZ@i0-zr z1O&H)@mcEPs_?moOoNbjWNt!4NvL!bFO=b9&sgC7gMvgh@9a(P!djMAP+1)Af_H92FLH}kKZQ!{k6l~P<&RB15Cg9jT`*6pYCfo1H_)t>Q|SwF$<5pG zl?8R0JBKkBdF7y}QvH*EUM{4Uvs2S^>iYvTqJEQ<*&{_jKF2X8 zls$I3UbFr=5qluIFRmZLna!Cic{5fMC93l`6l)6}0=X1LfUPswf*0gL;7V#|dw zj&}qUxiDOH+0lHFCOCzR2MPMqaw=nKOnUhmr7!ICFj48(1z$O83p`4;Q^MCP!77N~Dq{XGe2d^x%QpdoGyF~-3CaxQ5ho`w{|8(6J+nWe{YFZApz4&D?#_}u_9n;rWVX=wj zx}&uDyz!}aKE0v z%VbTBtkwpNrqFKXOz@s@X3#;N+F=}CzF~!KyP=QolMOn1K%Y?(27*w6nGYj_lK$QLk?@3SS zV(P1#6HH~zk9!_m(Yiup1k*a{-M*Q=#0|2(O_$3X$&|f~-wyqVWjLs;%D{m;CNbT2)M?QP3NI@)9|INRwg_>*YBDTVESvvk&-ks3ue+>Z-UI+qVnOlTF-d${XQCmffrT z(SEmWNK%Q1y6%*?vl8ZG7baMSfE*`RS63?@yp{IwmE#Bu5loMWQpu0Wt{Jg3ZR6+M zbmESf90}THG1nai*+#RQjdr#=ytys|^K;)3&avP_gGwKmK6s(MqJz7TK*)jfDGO3> z761rfomtKg5;41X1Ry&vc7sCC0rn~ei+$1fbc7$CDz9d_w@{Y8PP=cueBn|qkeOxV zKk}f|(%k;~BW%HG^*AdS2z&T$f--~^ic}qzc&yx=O5zdc2SGmi+cxn2**v+Jd$GGBjeD;U^_#;#&*{}PeLWPT2>Tx|wMl7((eTyqmAZ;$ERgt%F3j!8^5 zS?7&BsX|pUzC$Egsfq!)Wkw$~^6B z0C%SyXmUkHaAahp466^4YR7N_NIE#jrLe}S*|YunB(^C8x#8gu_sV;0lo_ysoRr7w z4uv`a)0f?|V$bhRa6o_CVBiz;$z zSM>R^RM5omNzzhmA2`FB@^kyW;Q%QV^jU-=hO*8&E>xAt!{6aC3XFav_)0`wGK_!k zaqwaeT8Vp#vqy{CT0v5Cd9lDEiC=y=$xB{Z`|8JPf4E14k8uC5%|PS0yMZv0=hM{t zcdukxUEEG|=wYIQ3ga7{#cDE8-4D~;4_qz4bBev;Oq2%mh}e~j#L?^ky)nc_Qi zqmBIOr$p=)v0?6O&7*L*fSy*=-ce=Ej!l03?%B}Sf zqkg{AZ?*Wfo2X|m1NWeRB3p^FyzgxkFRvZ$WSnn7M0X7_Rx^iM&?X6F`_+9DhzWTB z*kt41{can+rO^K$h34&ZPZPzN)0$<*(h8?JGWbEMuDj(dI9vGJA+Wz%NblVpd*zzB zP;xAZOud|Wv?&GII9N>nIIOzFot@q-oH};T#j#tD$^PV6%6V}LQ730;%GC-zt%OLj zU1{T2H5SRueOXw{$rrMBaPm#G*T-BDI3i9f?+a54riTZARi$jpGQ<6K<7+zrF%+;8 z;6S0yER5TU5=M%%%;w}$QvnWY6~})gyI~i!CGqppvOY4Yu2jMQ7azxttv67R!z%rU z-UI?x3;HPG=S?@KxX~Amh3~AO52N~ak=d}Yjk0_4sj+cuXB!pV%<{1CsHlp6AC0e! z@Su*%UfOn4meDPdV*&ba=2>#d`|AOI;E_tV3AV6zL`1?-g?!rxhLT8TyW&f0s7!{Z z={RLf`fPImST&!@{rXqNzAs`8CsiE1YwXr9qC)AH(~`pGI6ef9~N zzLV`d&*3jUq2R3vRqSJ0=>TeV1kCMf@59HOL)y_f;>VSzh@V;wqSCm<)l;Q~0V z%$2?w^feT#@~SvZRyy+eNiqTbil?P26kKcgQ`9-ubezs+#!!nZJ^mP zZ-A9kQR$tKI4|$bSGX7~teM{ZmSYxQO-w;Bl5JfeqD_(Ngue^{)Spxi{r<*f1AE6v zDBEJs@FMoZfRNd8QNTgpWX_|r5I9v}fsc*y{pK+np3@u1UXAO##^qhvTR?xxXCW@R zRgY>@6E^ozM9ZyB2>bix{ybe5@-*p_`LDhT_a>l? zR6~K7e@FEPo$PED$2xb0-|A9nM11^Ra1T?JL+yqNjIlScEv7Uz40`x&)eicTM9nmG zPUcN@GaghN5)!E4VOjhKhcYFuHnJGv~C7VQ<$#4b+ z(41?MfSiG;(pOdD^0wXblHCZ733Y_KX;Dvda;h`NN|ru*AMB8|r=f=l z(L){zOo=^!@eeNtFy6NTe*T>dUdF+QC<@^LSTW-$RnYA1bFZsfSB6h`o7PHg3N~>@ z@DaL;|GxnI|1S{9M6j|~=C32Zl|3NK0T}`BH1M+28Hwtu!is3!DJWqkvO|N>h;vt` zD$r_m0eNTH#^CC(g4X0yTvhC{-a~rzPsN`{XfEJ-&>#GlTro|AGvqjy^r@5yb4%EW z@bo_lC;J2>h?z4OJb$4LyvMrS$9+SAi)`5WKDs88@y%Q6UybOz zH{cUHhV{BXSjWsKNX?xMWk8ol) zttkyX#I!Cn^@ajLTKEIw&j)`7m_9$jp;`Zv4ji9@tN;d>JQ$|{q6z&6Jfn|o=;6eq)ZbyQADGHlV*zzpL#M)Me+BB^hb!%GcFut- zzwK}SLEVyucXMj=wOZZPsX0oyUNIjmA4%>tlOg)Y&152rCM+*&4n7OLmxZs{*&h!OIJcli1 zF3QPV=j_GsP#Z>=-A!)mJ=dw|`l0KB27Mf-VCZxZ85SgF{HPYk)9aj`O{h$(yM#_$ z*`yCD>*wQQIC^9BjHX^3PsQ9uUH%V>>Za@dh)FG=HTx*x^$LAlqD9-A(GhVrBFi!t zpkKfi#24{=Oyy;O4tR{{PFLomOALShG+DBnGVp}l#H>$a3$)4Pg$JKqGxP%nm^eut z+9PQtHK9Gp^_(cEeG}sXOM(NXFicyuV9KnM1yScUrT#mn-tr)%EUa3GM@_qIvNVlR z6q`wa<4W%*VY1Ys)IY2Oc%YBj|2?k_1ubBbgIQ{UW*==BZ=p~p=X;x->;rPdR7mTD ze;-;E5GS0PrL+~`(!(!L$YvC1=EvKw7~6PPG5HQlT|&s`(=5B*%z8z4!YPk+O`F^jT_EGA$edSLE`1~HO{os#+@B_c;G&zFjVG^6!G}Ee~s>w ztBde22UCj0-1|t4*i)j?japEr5Dfe&G5H`Xs@7sf7 zs+_suk~S$ei5AC?+L~UUXDMV&;l=Ah*c_7p`$ZzHfTe8WQ>~7HaENG}*|` z{YbG*iKe&^O$lz#Hd1dy!K?fW5vxOJyjTMi=Lc@Px zjFkKTEDoK`@hwyvn&e1Q_%U7ioSp7(pSA{>2d%9WvT^+V%4c!nb+R?=Vy8UHf0uRY z>U#n`Z(n75%W;q^s^NgM_r7EgW&M#AirAn|I9&#WDJIGSrg1HeURH+nEb)$CwzbI{ z@H}pq`)b2hS}x-9nZeq)(}23@XM>hz*7^C}PO(?*R!7G6!rtoE!ZFMy@m#Cm|3cOH;)SW&czP2n|`thIv))J1|wQI2-QyTV6(_4$LXf9x~IQ) z=5BIGjRHks=bAgk$8*IRRqG^b1t+;W`351Hd8 z9zE%e8Da0+0nzvH8eQ7Bk#9FCPb)`PmD?{3b-+G**Y9iooVVIg)NV3mL~f;XWY2aq z6NDmg8u*F1F4l_BN+9G=`9;0Kr)u zpMHB7F({DEBXeqdAbG>55~+~uMDPY`QKL`&7S2my?t-z(4QLe!;cw)e{+_D)zR&EG zvQ`Mr286L7-dOU|;Ov`AcUVHT$v4HKWY2xRbb7{!_52;klAd@X$aIhzgtf(_LS0a- zyULiDE|Yb5a{l1XjGR)_7Gf?{%I-yz=m`IS_iv}Zg(v%anI|=%L`Y*eQQ^=vl^EfV z6C9G+A@g=0Z@=AN7^b@P~$} zA&4k~Gu^)N^EgbH|H)7D$IHz|e6NoD6j9a}U3fwc<_0pMzA0U{YQWg+_#=-qQB3^ z2DX_7?N(xyZ@SKgRT`pLqs?Bo{O5ZprwT6L?YZBY2Whi&@ib^y9`O*XSr?=beYzi@ zbl!0>_Z!AV;Q4B`V_|`CZJV|yAXuzf~Ask!c>F48hcqa+j_iFs@(T&#k7sa#js)%H3L=l&fWA~rIxOdH)CgJdpmKJ{GRmv10H{~eF3rr_g8i%g$j9Je0r z2STVL18}Ai0}&Ej1eG5gs|@@5cR391G-ZBr6<}3;HX38LiJ^!W6dQMoMlHAwFr^^4 z9vLxvzTXWo8M!}O{H;3B{-N1oHb(kKG3h^w55Zj3{w(kAni z1vBd2Xf8p*9x2!^n8XMAF44Qnv`Db&zgYfxmUniMBx85JEe{jWg5EdLF{es>6__4A zmlA1BDu`zO+e0@M`LZlj{Y;}PV?aY+`9*i`3po)dKfa|}bimcJpVzMNg;cJxf?XhK z)*)$;)L#iILksXk8`Cg><~-Bfhh^WLRZ2368O!V@*+;oPz1(wE#FvDq4KYhR^w*Sr zPcu$%fCp^d`aRZcYPk`U5~nMDOx6F!N@@t4<2wR!+gX@P3HjSS-yFSsGPm3^WEikz z&Q^DD-k=!x|sBF`|sU7V&8Z|E28*E8e*6T|eGrc5OehzJ1*Bmbc z!mw!6C3dZSQ@WhjQ(o|{nk38TAN6fsY01rGOE8tb>K|j(Vfk;T+%uQVKDR|!u3NC% zZHZ{|RaUV|eX6C$@1j<9gOaie-Qy{2s6NSRvLi4%P87CJsS66ga0ow7P%xWXncHse zI&Ce?7%mK+e0Yj|B3rNQcz>Y(U+TZ@C2DZH_)>kwewMZ(7E%PFfx1d0tSFNTy1*NY zQ9N}--M|eMH{a59m!({=+rXFdb?py~p=aa4>YV>kyp7tqz$DZ}YNLI*mijC9SeD1O z|I}18_6CzSQGrRinK6Q6)OF|=APTUnfsyfVRU=PNoQmnxR{iwC4?QLZUH1;->k4Cd z;sef`8KRi$?Yn)W{^d<6-ch)m9_*X}<7nVBaVCpu@^;~h0&I8&kWyg z)!w{!m)Jh>AH~Y%FuQIqH>`yAEFOEWrbDV64VkD4Bz3VWW4a(EZ>+3ijBjs_~mhdttgk)@e>4exsv^ zN2D1irka}xfmO`~W*y|`taeNugjA6fPE?^A2mK7tHB8!mB+Z8VR~VV|Zkxmnhj^v2 zYl=SM6?^txNylC&!=9Nx>Q#XotE?Iop{u4HT?#DsmhGfiY;Qb1Pu^c^s11%stA&n7 zXOSmY8${^0|72Bngwxg$TacnFA84F4*Zv zr+fN!>&T|iKegR)rDhblr9#a`g*ks7yonu>nj_|uz=XIjD>s?F>fHykRmhRik=xjU zpu)9|Ry6cTsI#ACA7-A)s~$|N{z*>e+_)m^g;QFDj3fm~22z2bS5IC_tF1{sig%zirRzqa}7D_qD zvCt6Jq4HPrXK=1$+|nNRCu2KZ2WARgOsPw0Xdgf`t)`P;pYOEE2}x|N&KVsq5pQ2r zqR0}|HSb`%`+ z8AO!5c>3O`{KnJh=S^X09Bu(W)$Nt>>}#uyg*hy?do;~?exfZRAnVv5W;l#S0a**!-bS^6T$+{815j+gYmS9&9R zAS`vm-~~*~X<6w6{DG;+Fi+pmElsDBUb#yrT=9loMgm!cV6)yDhkZTn;feKl@R5`y zp<-l~$uE^SBdsBj9-UCN40+23!0*SY_TaA~Euv0MqJfOg`)9^>F%de-<2XDaF z@uaFpfAng+zym9Z749>V{fwQUSeEbaRbslUiLyx*duPMB4Uxn&$Rt>Wj{UV!MdS58 zEFh!t!4b^*3dm9JV$D&c2gs6~yO4;?D5 zS?;;ygjHBY(jNLCO8-2TNaFo*J;Csj`;iCBTlRaw4};?=TbLqe*+9(|H^h{(p@H?K znw&!cP#0Uo;p$d9@w937VPv~ckp*hmosFBM9{*JDePH{oe$_=8(1sy>or~*Qy zX_<+9;mnlKY;{^S=9f7?wCJR3RiY%^E99F8l{;igIEjGs5N!ybD~J{ZRk^ePhO2Zj zrG1s+a?+^&2WmASBd@C0h#)IGA@0_@8rdzCOTlH~DfR38@qB@ouT`7j!75TUW_pe1CM9)E4{zY?I$!8ZW zTJ0)?pET?oxi{EorFqlOw(ETyw%fO`zcR5@Sn|qJ4>z~Lm~+^;uJvi-GO-CW^KEKl zC@0XD%`^Of%i>Cl&r-Kvn|kvUt?dlIQI+kg82#qtw_V87$#cZsMLmIz?G?*<0L|5+ ze6&HUW1d3pA*eG+!2E8;gh+*uOX*Pf;PXguKH4(&g?TLS1BTu5@5;>f z2_U;st7x&MYZ*jml84`-j7guG^srH4O_-+X`}OfV)n9`ntWs`#$g=<`QcBB1t+%%= z-|jq^TZSG1Y0OqrKGHquLg1d&?P%6<|FS1<-F+Q&CJeVTAMwvA5qFL8b0%ChF1k5} zOGABckZpCVfCH{oxh@%v0|Xoc5Sn>{`Vp(+T2z*j{B_OiezY(Fssdh)whe?b)SU#R zRkB7){f)jesrrD`1U6*#dbUXi?QOpx^qJ$arK1p_C1i{m^eX1y8okh5JR;YTU4rhR zA0i{F{-c1*ZAQwMOImRU#w?afi*5S(ukr-uPtD5OJ?~!_JV-db3~88d+R^8bKce6j zGP}Oe%Q{TYWdH8=L|n{TRN!RMx57`kX?C<46l|;$)NE1A<>}QKNgufu0-!W%lDJix#5oe-|5~?;I~P z1(0+~6?7PGR|LjHI&!`btg&YyA3w1IJE4J;GZfKq*Xp1UwL-rc2EOkAMTm*u!4YJ5 zbl(_L5guvp#wETM#_d?D5|WBT%yDiMhmQR9qb|ql^Jf;M!~UZHC>;A3xqy*{rJy@d zi5fY-iZ!( zDRxkVYFH8J14SKGcvZRSZgV?vfk_^|pN9NLxP!r@aCvby961UxRB%;j6rDLGclRZo z6ZMt!z(44B8reRvJ?AJYx$xDBEVLyU99y`X!h(P*7<(^ACK>^t!qgiU+Cs zYyV@fN<_L;{?i~;3{OJq6c3b^`eo6_>-H2r+EY_Ih8lON#6u~0yLad-&di*A(JL^{ zGp?6^c>O$Rwyp~BY_;B^Ky#rm`YgHqpt8BeLwwnJf{$?s(NC$wI&rxq zb7-w67HsV=27AoaCjfX()c#(}te?(AsTVJNx`X(j5T*O_&)G(4&|XVj?>*o#pLi*b{2U`W%CC7VmS9ZY-_X#5pRw(XWb4m)T1{JvLv& z2ei6GG_mgvs{bX&p2FiMch$vzchP+dojv)>Y<+KcL3Og&&cIpVsYvOfQ2XNcl{Ols z(_NgM5_4qdt#>jUNCzK(qPM5_V*q|JCOt5I?0?A9t*kf}&Nc9T@0>Ztm1{osaeDAZ7h1U^Y2#4n3UaH@ItMMw3T? z?UVbjQk{eUAiJL^Qqt-;yrEBC^UeKm-V!8M=V`st>he25&aKDW96WS}DAw6`B;_OA z83d8}kU=}o4N+xil8@KEc#z0gie};Kzh8QV=*1(uYu;$**3)kRR8-iq&H4e-`wl+g zuq+X>;r(S=)&EE^;v2?nLBJw4_ElGqoxFrYnu0r z03fRUaE5~)pkx?r3lL_DqZ_nP2Oq8`$jvBpJbBszz&h=nd0Tsd}yDgRcMfvYm&ePf2qnH8h9qni6##XRp$^?*=3R>R|&) zg7M;3I!pgvOq5lxR2x5b@_99h=}IN0`TI07A8Ds1xSabbJ9bNKd?z)WGH?t95c*Wb z!iqkd-7YYFnr*ZA(eLU&8h9V$5GL07QZDw~ zt@vzV&eE+nsJEo9%CJX!qw z^f_L-k~VC`!NT#&t8>>fG~~n0XE<5?biFU8G+bUWeV7VVT{vmr`!q4|YxIP&YqGj9 zq0Z=+T#b>gr>DWwozW=`pZ+tyu>h;@VHA{GkJ{@L7~AWGKQHK#8O2sdSJTp$^b7os z%3&2`_flUKGKZ?(8ouz-Br@ceES%e29o+c6?Qm;rZL0XQM%c!2qPkPlhSjh5m0tQt zMCs#0@Omqj=O!*8^@J(0Lp{x3zF3s^ZtJwD!E%>=N~Vg49=F#|b_(M!YcZY4zX><1 z$$vt?G_OH~r?Shp4|H3zo|o9}x~GiXqlELbXvyDv&$v7g5ap@Yn}2{c+Bl#iz*Zj} zg5FIc2P}{KDn%AKZLl{=Uu-{@8Z9?zg8O`JN`C$>Y~_f^p|CP%^y$L^*T|CvZC`mb zB73*<&#^CW^f(-(8iDJeTTPlfB-9zcrSnWWcn5cAx@1L5_1|f{p$C1f@KZ~-8oHpo z0tF7_{X)Usl-%EO_-wYRK_vx0or#GXnOr?VNXI|5_UMf~EcKf`22-i^4kgtmkPO8%h=L`AfE+y0=B;MuoVr* z-p3UqS9lK9V>U$Y&^2{lns?QB^K|(!OD^9L<7Hy5Rd|gGzX;ioPq{SM3D5ns?rpST z@kwkhS?&h*UTaGKq&x7=tj6h@Cce~({wxmke=7x%2vt~zH69}HRV{?5bp~Ov;3L7C zk4fE}LdHU`>5}7_yjEQk&ybcUH8{~6QTvD&^UwiI@WJVz8+!%Z2=r- zqjp`va{jZqXhfa=$PeSn`IXCYM zQEOIC;`ZOx_n}JB$Us_m+UsAlgdM z^X%ti=qeBzr^|UF7eF>z6oDLm$bS+V_{i=FM%yaB`W?@+2)lN--(yY!evfQjT1tK0 z+FNFV4%mG=jcgzq%6WLh*`5sN`A*Wsg@U)C=Q`qDG?*!t_fGS4^j)`T&{Q+wn>WAF z%s>8~PaE@{9=3Y-5(A3g(FSMOZWNR9qdCaGk-b^aA95RZ9Q_yfTT11+fnKDI8Rz_$ zcaH^LXgoEi#86=JJF7i^hIxjq`qj{^vOq#uCq$<^u<2a zmnJcK>GjNKPPBJCqJ9^+bk!l@S0jUPf%x~3E1|JSy~^Fm7c~2IK3MCY)_jUbcbivw z3n==G%asI<=LS@{E)7Godpjn&Hxz!I7?_^f7ob!Z(i)#RQkVF%3ja&erY$L8JS~>M zdZIglkcl%8yId86pyl-KUoRF1gFLqvtTo>IQ$_SqrIS6>k zS?7%U?OA5y?pxT&gGxrc3XQyrb#LbvyuEb?H}r*guMIsl*9ih3|o8La&KVA@i$9v zjtPYN#roWE?2GBYD$SIncR03QxcbjL2&cUGD0y{YA!y{y>UCbPcTylJq@l9q6j^;1 z>WQKyXj|hf>Y3sXSZ04}Rxb9rb2rM$h$}4D9&fXm4DA=ZX9#E{%96^e)v4eK9pQuk zgL`PBOZWO)zvWKeBYuu&{aKMYYsugFI#r>yr`N6gV(@<1heEQeoWWfS%h@rA?4l3Z zZ5!&OW^V&|3u5zqeZDVCdal)yb#$lv8zq(2iuJh{SG1P?X1XrYW2=YR9Y`IL^L@uk z^gM)S$Z1p(5^S2kcQw7e*6Duhh3T#Kprg$NW+_0fMnRPwlerK*-a|?HR;|u30_vas z{d!qsAVbQ0y2dmjrF1cV>myGWGkXKIAp6ED9nkq9k}*FY1gF~LWxfpVzFsV|@~op~ z`IB_+N7Kq`FEcD5n=Mo26rpl*8rrU`@Qe4-K@Ov;i3J=MA%5J#2Pp?5*W*t z70lHiw*U5RzAV1BUCL5CVG%r*QTU9w^+=IBxRwJgI4I_d790Q$Y4M(VWJolBqBh4x z+m{r-WWLblM*s7~u@G!}L@!K!riYjC6*-vC^$y}b8J5Xa{NlYlqjW|ezG_IL&`@?| zCu+y{?4N6#2cvZU;t_PV>@Os(i*Ccf7k}J(7@f8u)TH)oe}Tqn+Iv*b-=~HfBP?KH z+cK$Ss-#%^9A7|CsInu-qc?zvF;N2zB=|7H!{DneP^L0S74XQ~IkDuyisF&#B%Ke6 z3tx8~z@I!2$qRJ@%Q71^%UgALd8_h z6?uNhTNc6HA>LdeUlYQSRf!6AVcn-!GCyYHDNbJ&>0IcWE7W~3)o}TB>iqac)rr!K z+->8yQ-GX20aQyZtJ_grm&ODa6re1nh^Ah0TNuc%&BAB!6l5`T{rZub&hGc-W$5DG zWKZ6qOHHjkdE_wkFCeBF@T{p9VQcZYpUdh4Mz1`4>QyR-_FB2NzxS7My)0GxQX>IuS~lvjHSSNk9RF?Z_ne#(P0qkSpge?& zV<1!X6WS`$yL_;QU`>~htPo^gpDuQAXYj+;)>eV~BYxiIQS#4Ky2wCWtpsbN#+<7A zh6Ll%UIjr9NwASnyr#aLjh4YSju7U`P zE0_)=q%X(~!d6B=xQ5V%W~~>l_%>>8Z9k4pZ28Cix_9`r)Iy=mUUI5%uuen_3L54Y zF)I~PIr;{Nb$~lH_P~kOOo`EAmM4OLIHLjBb1#XkHC%zMSyGk3mp9`scU(Pw#7 zet|tcPN2+Abn$B@Wc)OE)=>+YZC( zQhdSg@MI1+s}rGH?zEART%zx>6`B7Ber_NYtcRqp;B z!~l6ARd^NJWPQKH)AP0-X(Q8{J`@0#hW{D_&B2=rU)bo1NQi+&0R29_+rz0cUEMv7 zIymtT)Xu08X#GLV?+sZ);<04DF&rb%d$Z7!6mbonQz2Id`?{f-raq2$enOEG<15Mf zCSs$z0SzpnLExBZsmUI--Cv<~1IqTF@t1y+GDc;2S`V2<%Wn)(O4!~ewh}Tg4I+&R z^-fM@ghu0dv#EXcnLB;n>`gEq`FMAJih7J{fh+8br9TI@9rwq2(Cy+U3vdrrHMJNk z<%&A7)N^H17WsStY=?#Ri|2cd`!z*IIa399R((66HZYO0Jt$jE4;RGUIPR9Gi`Rl*@e&6gpp|BLIii{*Q z)(|=kapdBSi1secVlBs}?t6DdrRtYV9;H5D>d40>F>xV{c8qM<1+R2BE+m8mEx}x> zFK>shCdwJz+&zQcoAa?gHb#6vsiImV)BoIAbfZ+{{Htv7Xc$P%cSYDYJy>3`&K%w~ z@(VY%baLPs;H3bfSu0wWSGGM3Xf_>mXwnh-{wDQ?W~@_n*4no3wfPTEh!vyDy-0#n zzQ(QlBYUXFfZM->i&1CyaVek@zo~>gA6LOp$!|oFDkwffxHjKV8}>AdpEGiV_(WA? zF^t4yX_ry%=yBZ-2wbfoJ-o7q$c%-5Dbl6lE#~LPp_9!6tgbRu5G%|R`BOo*Orvi= z9R}_E@HsXYt-BecP!wB_6ZM@(s;^ES#CuOL8InT3&?v}6b~f&%59QN%vHSrUKD6K# zS59SAt5`(RGiqy~F!@x-JZGoHb6N}28{&S9D*gs5mXrsK1Hokl*>C-5c8vdIalE4_ zh=CD*F+1mcreGA>tu^$2qYc!_NyfBY+$0>6gxFNI6r95*v^@+E@;|~u&Q1W0{#38I z!xgk5W^W%IEsWSHo-GVw@> z{xNpv+!3Z)D-#2|vdQe;m!t0ZN07MbQq(h;$$=hI!cb4a$=%`rMgQux>ZTtUwWI%X z_$dC-L;zs?v^GV%XTch zitwCH96PYa3*20cGuQ4i9uj&LPslyfoAZ3aW-Mx2N(UxeH0OC0m@*IJzml8|K>{!^ zDTsTp91eu#{xU6!0gF!tX4^sEm2@NwggjUE|s_Q|(oQmR3Du9%8p0vWcj~(yQ+Wrk?V6ZUcfGM$3Pw@HA41^?t@* z2_&y9p>XYUmC-Fi-oV0xBw6d1nXe;0gf?|n;8O?WvXBc#Q5p78>-Lb z>KUT2*c4%*^I19}@2uQBK(hWw=Zt@d$b-8aw7*6Gl*>1gLqRXvfT8H~xV)I9(bToA z6uFkaKjU?2|8a3SNc#^3+D~?xeI?cbVE<)Lo+Y_iwWF(ILeGAsV1gX3B6fO-QYPHr zkVuu><5eUS0Ymj#)$VMxcw6pjxZ(R0sKi!V+|$Wo`B!67$dzI0v=93)sMdx#g;|Ye!fdj;Gw+UhqSc>hNCNFDLddNTz^Y26q7!{u5iw- z4&FZ&cUWansanF9<@Fy=f z^NFA4KnXToUGd6@z<0X*<2{AvOe&rBmWaZI?$(ZH645 zWr^t_oNsIp{04b`FZ{j=Bzp|AK1;$+tim zCv}TPkl7v(fuXrOVmN<6k4me>J=zGi{x1Cq>yU=zn*Vq>Q?mL4t57)p8&ELXzODu} znmdt_D>>xkEZLz-&s_SZ?)v7vRnHQ4s!Q8PbxF4#W>O2FjJ)LzAZfPG#F9>zB6PENXwF!THIi;Hw`gsFBcyPTe zCh|TGs3L^N-HWx!y%0K?P1oy8Xv-|nWZ5d-s7B+eP^B= z-{1ZIzd!D;*ZcK(JzuZKqt#`k>%pR@&>Q_g{y7#dD*uR=t$-8Cz_Z8^B18<0B};$y zs=s%dJijnRm|mn!(J`N5aZ6GC+|DP+lC<|ZcaqcI&Tr0c`yYxJMRJip4v(y#+8-5; zl=i+9&IR&{N(~7sV8rguMxO@6?t^Qh?-77q&?HRtVoDM8`JAVHX|AWx@48Ro^J89$ z_P0{Tt_{b+zt{>!+Tb6v^!aDOR0Y+s8XdiqNc|S(Gn9t>c#>?nEX^*w8O^6ZV$(S~ z`@mP24=s?$sr}>m%cwS^j$W7IV=RhJ*i3y|Wd`L?n|GcMmB-X@XZ`AHO97hP9y{M@ zbC)>R@ly0!qS0br(YB{BXPWXmEzv2kOgpFCnx=qNhW9CN0-Nh{dlo^HC=%~48)r^} ze7BQQcWzS%dTotdvmF|s|9S{A!ASG%ZAb6@A}>K=-+G%LN%P!j4x&xcEDeinzXVJj zN0}`>jr?ul?W5?Of2XrwI4o|YT%H4wE5;cx+vLZ3Ikv>A+^U2fBgsD^hExp0{8;Ly ztef_2hHdz5Skq&h|xPPxl7JH+L%s8K|g(V!}^RI;89s#QSJD;^Tjq zuFZX4m5ZN0mS#CVmg>{22|sx{tuV&axJ_BSOFLnjyg8zyt}m9pWmC^--Ti=C$VCfXrq@@#_BRhpP-EfaS99IuH8?KVDGtbXtz&@ zm$NXMT%08-Rdj7nSmsh?FH5DiEa#om4X+@(hgqUW?}0i^p$17C5A(KG4t}lw&4zF<(S+UP2*}9RR@a)`Pts8$b#?;SYeCEq34%_&T4Jz}7%#%S z%CXa9GroXlbBW4T

E=zOXpOK~r5YjHRS}%Q}ad-CaN7J^j?X3@@C1)_P2z7bTGn zrcQ7qo{+$QJ;hDv);)BQ+$SifecvsI+rPMb`W2+zzdc?_QhUU6VS&j{P$ADptwrrL zrv_SQ^T}G}FMZ9G$M0dr^wuy5dB84N@bXgHRZOSAFpneY_nO~scUtHSg0(eQUBkv@ zNj_TBI&`NV8HP2}k(xMy#`6jTQ}=$?wr}6~Q2VLcEYx*fgTJGyp4Mb}X?@;J4$TEy)2&RN7_uPG)M)Pb~Y-jhQK zz$C4!L`|nEqAF}w(`o*9h!3A>hOzJ7>pljt^te@)L&Pha5g?0>3WDu&JP+B0Vj;O& zHI3|*!Zm`ot>Am>cM_+aR`lKbcY!y-S>jigrzD6Lcq8I4wl@SpdI-_Ki1k@B4fhH0 z37a~cEzik;-hOy^3${1Q&5DtC39z1x?UEh!EDyOvok4m^fX~iL5jpjjZBThmk^=Do z<&oa+GL^v2y48kSYz_u-x5OLb2xk7%eRL^&Fh1w zQk79nQ&-BvxO!%<{w{$x;w}u$@-E2bFB;K#yzs|d)hfYP=V;U&iNlZ5-1$t=?ot1a zphX^N4KoE$=@MnGsuHvdc#o;)!6vsztjKcd5h~i!%1G#&$(F4)GGpC0vZZ1F1ZjGt z#-a5&6>JzbG<$r-q37p1*qg@TLEh6(|Gwcz+oXu>oqob$HYSv~aZzYoIbU8ulxtzu z#E&CW@14`$7ZZiQN?A5X6puGoh|e8T>?1;DS$Ju+Qu4=F>tT<+ttMEByak=vESw_G zx}$#0s9e07TAevY#r#L*>jV7-W_tx;5Bbs!y`3ZOVDe^NiL>v|vk1=ceP>=mGJayC z(~n|k*P2l7g&2O@uB(~**`y^s6bMF|Q_-|t4ev=H)UN;%Ya7wSY+-)p<2$lWnRdGs z#X0H=8g4Jm@4E@wNpz4G`6L_|k>nqi6KrG-!#N#&vVAy!BtO3_4Iwp1ZZ@(mou%eJrx~ z>mXpCyeaOSfC^NYjEkG@quyCaS*kGPXYQ~21MEpiTU!p^-#qGOWFHtqQS5;ZH+%ce z41k5ofP9ZWEIkStk!}zeggq!-J}bua?LsK4FM6cZUmjg2Q)>-rL z0sG>$k9^0==HEjF$)EF_K4#n>)=G=G8pu0q8K`w3s** z>SpyUW;S}x+B&=8M?DU9mnKvw48U{=b^)sIWmfz zNWm9}m&)$~soFL060>m1h)gi}?;SnC&%sZI{Ytdi*utbH7&mn$4Z0nd$ohWmrS;I)f85Efs-8}~s4Rjycm3Bs2b z;yg7C@bM8o-=`bEjS8u6)}1ug3VxSI~h%|}*78*hgK_D$V1#N;U4 zuC+^jBX-UzpJXGG+LD9_kF&6zfNhJEej_H0<5O)9q4)-wE_aF4sLKy`M0|k3tLKMi ztN-xo3rEUJau4Pb__ay=|HkiDjT&zm@0v+zupVqIm2i}+yXcD144f-ecm7($Y@g{vd z&Ddv%z`WRHxzh^jIFakrEKPwL`p__A>8&r2v%Mn=Ka_Kj43ZOzeZ)G=5Z z4XP^{mnsq;?zv0p>NrSXJw16r->?h@;IQnuWO;63U>VLG0GzoUh3BcFr;}*SWERDZXOqXQ9Ux zJ}MG9dwdn8*9M2)iiaLdI9AQBQO-dnSL>fCfz_Pc{xQ_aBwp2QgN`$K`w$wE0XmzQ301*k7f6)B!|~Kx=@pxfW^m#5flp z6Wej|=CS?tY(8v=5)O}Ma`mVy$RxdThY_8TUm%VoZ=A=aK$u}y^xd&zA?G<`IQ{s_ z1WVoIsWBU~*(p|AO`psV4U<`r~B{r&o#Zl3>8Q3L$rB8`45S&^0M0}+^#)3p~J0O8N8}t z{m4|b*2A0hhmhMP{|>>1@zMMpJ!d-t#qkkUGG$vQiWpt%voaf>Q9l^8_0`Z~o34EP zP+UqyrgnXuGC`l~vjct##_>(T&?Jp0iGZ?OyvexmYMm~Gy_>ir)T^)X;psq02UD!P@~ytQs@R+=$`_D0~~*uZVA4R^be z>0bNCRA%>`S=~v9E-2kGaJIYyKuGKSY+sTchncepa_X9ej2Kau&QO1^?f>$|d9HES z$@bfAqT+%xP{%>V&tY!gUT`|ZEg=P6oBK606f@tdJl|MO_9Yn;ABIs+jykDfqZP+m z45eFPdl^P`(cT~PJjKnw$7tP)7g3<{H;n}C3awm7KOkx18GoRSMTwxKmk!#uCXHpq z8^M~svK4K;2bVk9V!j%~IPmSuNg#!ZwE|$t zSca$Wp=P|omkZuUu`5$ak1^FY2~+JiDl(ls6fW}4j+!Ii894=Jc4fvfz>0aY0=Cn` zQ79j%=Th7$kb56;EiWez<%g_A{mB0a$%TnXU(3~MTM7Jp#FJ1;eoT^UvdWENPprke zslL@3wP#L-Rx1~Cnry5qW(I0(z@-R;Rn(0Ii+ZGD8a^~+wK*&CLrFsLZjmQS$425* z8B;ub>mmnYwVVRN(Z?z8)6HXF1=CYJ@!q;_qQ9ZiJqFPaA$u+ia=IcBE{%Y9OV@R7 zgz<$O-XeMd$hFrwnXLIA`nzZD8sAL#^E^ztYZjaueZ`}V zoy3DrW~bVwv_kb;ej#`3)VyqQr>p$D=^f!LbYn2$*~0n#t=uW_b(O4dVb$#X3zAQB zE$Zs8ryFf)FeKSt!z|KnAYVNlDK2`THkD&@UNC|99vXG@_ugn=*P~e{mD7V}l=NRz z9ny_hzoK|K2Do@7yQS_;3x*ST9IlkzPK) zsA^8B*xeh+HjYZvmDkRjTFu(>e(kj!(Y1_WDwe6= z(3&>X=S_e>3!p44&!}k3XMdv9Gv1Q#*Ph@;ro!eFI>cqZYIEYz!4q(x#p~l!cw4-! z{*9AlYsX=f1FBPht#x<;F`IAE-J z9eh_rkY*en|EVOb8HY-))wb|sCdG^Vv#t-&s+w6WNtj*hW6CsXG?5U=WLNKrv=O>z zrtJAZ8?d;mt!=$T;MBg6l~rM3>PPYhv}#{K_8=1ksckdAWH1+60L<(O=gZvt`>OtR zCNpWO@K98|1<_P^`UvTjX}eJ{iHQ%suzYe+R~e6xT`c)(@>R?R^v&Ui=ZU`qNBiJ+ zH`9%M*MPmdSEB?d`~_5}Ja1TeH(a=iD2s-F&8c(Q-1W_5e9P}A>#L~dACNvDY2~RS zLRQRQQBAt> z#3irnMvRs~<(6t_;Pk?83e@VK=8Vwu#GlHUHL8l_T?rH)3elzrF(Uy{WVKPU+X}0( zmZ5OgN?c;gzQnExi<-1x*^SQ@&voJ0JB)qX`i~$(U!S1yVz~&JBg{*b8+vzy?L(uZ zd_^&O)7Rr-====a_=T8ngC=5s$;14@eM4l0Dpnc@s}=5I>4iTxhT`7;qX$N&xjOEB zA!3$~6FT&YKzJvq(UT?P>wh|NbDy*(KTQef*Ysq#k7t7HONf-S;vU}F`uCS&VGb*M z*1>ZVpM5h2O}_p7Nt--;=z_raG&;wPJQc$qdA zfB)0`)+|>Co-7Tu2t;~2$y<42!K!JCh#3s{5B<(5E&%6qXFwIf(ic&G&GDhUufJOV z(#ynAm$Ow24on4+yD0RzR3z&WFU#?!5*CEE!dGK`em>9Xa6Fr9vTr?lXYVhZ8ahw> zyGh{c#;{giGLTi;lEG*b6pLL&OHK;(ER(y2oK1Z; zzmrGL|7!kj3CjT0qQ;JvM%h)rFiZBG#cNXjh9vESESFKxOgXuCTd_7&S< zBh1@u>iQ&YD2DaTKFr_2U-LeFnYKDb#I8pANq}};$`k41_8=ziSNk_7%QQO!Z$7}w zxu*UVth>jlL0y!E2mBHzPQ4>5M53l07AmtMnbEE7Id}9s?#J%Z(~I5II&^3Qhqqow zNPnZiV>04A`|eaw!eGD|;C<7^&J;1fleg(*;SZAi7XNYL!|UyGM6u$F`Ivw|$Gcgx z6=5|e&nd-!L1sHzgitZ4ntbcw;2Y!kj5-wau-FLz53UUb^R}^vUB`Fm2u4Npjk4-a z-cH)yO!jv3bW*HrF^D-SS2NE)f(%!o5^Tf zk$gqP$W&Ct^R=|Gg7PiU=S`A71c+9LZeN1eqm{S8)qYqXX1xX?TM^FW{Z7TL!xHKE z0KTo)Y#{rwstXv#Bl%p~dk)OhtQVFpk)VthC|5o{GQLDL4qGlHXC9FKkju@!(cXU%)wx*EwZB>3|3L3H zB0XN@-7@2u`Rr3^1u|z?K1CI})#pqem^geK3=&mrx_fgXf%fi>VF0)dNEaa@!V`zv%M?iG;xL}4^?a7uOR-@EQE zOLe9LgWb-%I|23S7by-|$&5+2c!#^5c?NI2NeVHUJ>)CbJGJj5s7(EdK!J1r{ambkzD#A}J~ zk_n>phb^BD^ISH6>n=m|Z-3uCF;6j>gW(3qXLkwOH_|qd=(S4%<{z5hK&qo=_IV)ABx#&Hdl<&HmPm&Pp)2zigZ-K3-&=!2Kiqjw;C6q5T;f5} z_W)w7zd<5-6O}j4oj;VReG2`AHyT?rS_aA)ON8o;Rd~|oC;36Ke$58wpYEC7o+2yN zTs$Eqe~#`42x{5kw*9y~qIu!o0_c~C({b{(CzgHmbrDmVIQE&jmHjk1v?hQ#?Dfi) z&kfLRq8$Vy^*Ez82dfnfaE{0Lw{Q?`mV0V<ywCaLu-2GRyM>D7E)@?(C+KA~37h z{mj4kL?Vv>5`Y*H)UZIh?PwNK0KOS~)vL+6T236kV(BUTg|bo7BA&)_A1e@nr(cs$ z;zKgmAD(H;ZvF6-vPMWX#EpL|HGF;?h|^Du0;Cf z1x)vg+#cQxRMtvUfhhRZ2pfc#$TSNj?%&WQkBF=fNBz z&l9;#@E)q)OfkYe>4c&xqVHMcBlz{C0lRaFo+qa#yw@{KC7IyCusCY$9!zp$67y6A zko14|m*8Lf=(He836-TQp?!TIyD_(soj72u;PNPF>GLsl!*j_;R$S*ma?1WcNd3L2 z58==DF=x|c10ws*2(i9nR%Y=gkv(p2SNYr}#+W*OZe5V&o%l~UQjo2otn}hzw1$I+ z?21Dg!(zpoI5Y6G`CFnIl7*d{u&LeCRIs2DdPwq_`(y?(2>U^s!i;^D>82C=rw;gki$)m5rA1w*S| zkz*dI!sX(U3%llUuL{x!yeIm?H+OZovqIn`nADBhx|fqyMEMgd`vy?CJ?(r*{dSBo zRY8P>ee^JZZ?DBNV0plgd9e=d$(LuV8a3UEOXjMF&mWFrf^U(E2njtmurTFv0GEA9 zcgjS|JL}z7UAvsYhaXb@nknjP{Ed=g9;VdWV|jqL4xpBJJufdVkDDX><)&+rvDHjc z^5>v~7>yxscU=i-?TUluq^Z^YF@gdG5bz(BkU-OUTBieOW&_W2n!H9Z#l-Jn>V3YP zo7h*sc__BK$fz!=6W^Hj9>^3e0+Da3uOlvI>13XMu66e4^=}dS!AQS3iFxS@3Bk#l zoKzFC*AkL(of>cz;S^>_)^5_Au;E|KCDb$)!IDZ3j zr&>8qn%iKN^K*{yWp+P=op?JJ2Th8;F@^42vz>J|pa`U;+-VWdr;bsJX*^^{lG4|| zZFi=|y6vL|Z~TT6^#A5L4K>)}>xZaM@tNMkp9>!wR!4dsHrJfzAVVB}A?_WLdAFh^ z=A!$SgnfgJT6Fzzn#i9y?Ai`%EUw#yajP$alC;#$r6?=xzG*%v4`;3hc+a*te?PW? zCiWncHF`mhn7jNbXAb*%QF`oQXr7B`a`KYoa>})3@t^o0t|9+0>T0qY5xE?XL6U;H zC!_C-73EB@&}lXoy>fx64P6>O*Z{~u?|JdYc-M*vppIcG07=eq~;kW2Te@ zaGNCGLM}y|h+lkJh7a))j_xo0UhVmXWAbAHvjnu?G-D9*PNFO_%9FiGCKT57uP1mR z4wuo%CtRj}cMDx9yF=PkRNo|El*eg~6B0tmX+AgiysNk3V9NaK9`M5{J6E&kY3McS zcj?a!CTF{-{WS%N&hO4`$>AhmIYgK!AK#+3;;p%BY2S2sGvV>P-4yK8DHVGoAVFp1 z2KS=WQ^D2=pD0Vey^)A4$?>N}Ph`QMJaFm{a^P5StBF->vf0?O(!67X5nlJO26*NE zu>{Yt+?R8%=s!))i9sujM|@(blkBxx3XbLTuc%aM@3ZF%)sUu=$l^p*1P<_i5kM3! zepjJ9_g5nKrP@wPHRZm1N;eib|bY9ap^qX=p+X?e&5S0Za^8ns@4udi#8-Gncb ztAAIRgjg{Dzm@M{pc5t1F`kur4m_qDR`IOS-FEaKB<7_8AwZbTAcA8NV|^q)n{X18 zI%h&elEreaEn$)G_ih!wIQNP(yLF##LOekSorMF;MxKODC=1ba*(2WX)Ls}Gav6w@ z^N@Qp4Q1@8SE<{nZlF)Rvnj(blTCD!_qeICaVe(!Qetf?9_k88ZIeVdHPno_)R>qc zYy9fWs{C@4V%Lu6m3ksaH^Dy>uqieUlff#KANcUcm}qxx3bG9;(gp%rNo>+b=VljW zPxpc_nnQE6uqt+I`x%vGS|nt zWoxJ2_l&MTblkgNB>ohhP(YdE$>%RtH-nSG*@YLu%#Zp|+xi!_yH~x1+1R_9gQfI`U4h1UB-m@C#p+y=>`ur{3I@=5-BX93cBRzy?XKHB52Rba z+Cw$lC7JrSj4U{r|>pwC#|Av!B^ZF43 zOLGg?LPnRua6|LqIk{xH336=>Q0dFXr#7j1G8nP^;k7^XmnjR~Ymmlcie;$8`vf1-%Iu?qBbfO zV&`3E5_D1K?NL{8wK33IlIMPhn-trk04=@>{Qxm3W%;3X(E+*9yNEeCK<8khu;Jg$ z^XzvfTPdVh@^oi*$FT%F;wkO&PAKi^U-mWY)#NuNiF~xLu~UoX+-dLTjnwgHht4WP zH58@UqP5nMh+wKl`!UkD_f9n7sQCW78W=vc4LMo>@MhCE23C4^{M@k(pQTfUh0s%_ zVbNNHL+k@BWn$?H|BkzrG}~MXQqRa`-CwEX0ydAnwkr#DhHLclEel4B-qFhH&q_4} ze?$I=^}Rw5XTTpFeQu!97}i0&|K5m9cCH=XqG z%lVa(*LrXbirb-`$2k3=6TzR2O4AIeWM(ay15~AB*gbo#{JrCY!Z3b!N(W*8(Jyd@ zHX>mEsat=42cDlLX?nLuQM)MwDM`!nZSH83f;B69>;;-v7wWzPD+pP2=iA-3uQ0EZ z9;h>%-x50Kf>As%6>5c@5>!w)0&hLYN!=y-?1T!@xtJ|0KCdJIATe$nA;0y*Ew0mF zX^si+(P@?Jk=>yYc@`@OORAcz3E@!H!1f0+Y$t(kL!|kZXb)az9GBbkSF^@mv8oFU zNR_0{XyCvi9WQl`gB{F7-S15QM-_bd_c{NtmDWUQA12_d;p3op?d<>@$ZqDo zIhE4_J$I)dp2R4cirCKZ~?|FB6gV28w+AZ&YTqh~8LrZ@WG= zbwP^v&V zEJs9+evpl=g~2K4O<>`5=A5<(%{Rk0T~jsjo92v#B-w%jL=en^VSO5Na|6kZ6Kq)Q z#p+;rtaoTwMz1nK-#C}1Q58Y8FDc>C9hgJmN zrbdjrT;lvgAv0Tyc%8y6#?K;%g$x)?2NuP>9`o#r9lPUl3}^~)5n;_W!6kb!031Bi zI@&-g8-JUxdH%5g$^k@D*wpK2(6!j5*wM<~UPvs6{3+surqD)joS{f8~Y}6$L0h>>Y z+CyYQeKyC=tl|<={h3ffF;HR2a_&zw&-u3BK;^PuRD;pOSGp)bgpM-j%QZHwUt#<)2o8hGHyZ#h4~BddLfk*^6R10(pO;l4=jZ zBRUC46YdORRcaJFx2;XJjA~Ip75R6EU`S8IacM`mg5!ojYb)s+T=FRgx~3=Ea+oy2 zZTYIx;CIH5E?_j7*&)X9G5>Tys^*xmwWpbXP{yC&{av%`2YYkqSa^Z^QK>Q)uZ4>* z=o#pU$C~;@Hty`JIu`18NC{#ptgo(iu_E~-;H{B|=K0~DbYHm8pG%;Qif!Ev+B~LH zBg&SepR&~Rkas)l1vl!QKkV1{jy7gAil!oMT&x!Jn3~ll=2V8eHV1ks6~vS zA8|;}_nuL*l-MDvvb?SPu@agQg3tmhk-=!yvx^ZES9XQ z^JJd5yZhFhQ*V`u>WGRRlH^}spz$n$b!<%nRidsd5%RomgFZddTr(NtsjfiCH3)U^Gvht!q^t*Ap;BS0+Q)>oh6~J=e=HaznfK z_x}h=wS}gbP#;w9o`q4p)*xR1?L9tS5pOhCO2twb{pR6yXTu+hQv>U(0)%BLd ziu_f`nEG>`_j|rLx%8Rh(~wm>M*H6=v1$3O0ahFjEs@|ukA!m9jWG0s# za4YCbXe%nRMOaR*Sg2ksArC1WTbso%d~e@$VvdSC;nz6n*zQs+ihLtp#;b+~t`rLB zYixzyhH*+